脉冲成形滤波器的设计要点

脉冲成形滤波器的设计要点
脉冲成形滤波器的设计要点

分类号论文选题类型

U D C 编号

本科毕业论文(设计)

题目脉冲成形滤波器的设计

院(系)物理科学与技术学院

专业电子信息科学技术

年级2007级

学生姓名张力

学号2007213154

指导教师楚育军

二○一一年五月

华中师范大学

学位论文原创性声明

本人郑重声明:所呈交的学位论文是本人在导师指导下独立进行研究工作所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。本人完全意识到本声明的法律后果由本人承担。

学位论文作者签名:日期:年月日

学位论文版权使用授权书

本学位论文作者完全了解学校有关保障、使用学位论文的规定,同意学校保留并向有关学位论文管理部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权省级优秀学士学位论文评选机构将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。

本学位论文属于

1、保密□,在_____年解密后适用本授权书。

2、不保密□。

(请在以上相应方框内打“√”)

学位论文作者签名:日期:年月日

导师签名:日期:年月日

目录

内容摘要 (1)

关键词 (1)

Abstract (1)

Key Words (1)

1.引言 (2)

2.基带脉冲成形滤波的基本原理和设计方法 (3)

2.1基本原理 (3)

2.2 Matlab设计与仿真 (5)

3.基带脉冲成形滤波器的FPGA实现方法 (8)

3.1查表法基本原理 (8)

3.2实现结构 (8)

3.3 quartus仿真结果 (10)

4.结论 (11)

参考文献 (11)

致谢 (12)

内容摘要:基带成形滤波器是全数字调制器的重要组成部分之一。成形滤波运算是调制过程中运算量较大的部分,高效的实现成形滤波对提升调制器的性能有着重要的意义。本文首先介绍基带脉冲成形滤波器的基本原理,然后介绍采用Matlab设计成形滤波器的方法和仿真结果,最后给出使用查找表在FPGA上实现基带脉冲成形滤波器的设计方法。

关键词:脉冲成形滤波器;Matlab;查表法;FPGA;信号处理

Abstract:Baseband pulse forming digital filter is one of the important component of the modulator. Forming filtering operation is a process of complicated computation, the highly effective realization forming part of the performance of the filter ascend modulator has an important significance. This paper first introduces the basic principle of baseband pulse forming filter, and then introduces the design method of forming filter by Matlab and gives the simulation results, finally introduces the realization method based on FPGA

look-up table .

Key words:Pulse forming filter ;Matlab;Look-up Table;FPGA;Signal processing

1 引言

在数字通信系统中,基带信号进入调制器前,波形是矩形脉冲,突变的上升沿和下降沿包含高频成分较丰富,信号的频谱一般比较宽,通过带限信道时,单个符号的脉冲将延伸到相邻符号的码元内,产生码间串扰,增大了接收机在接受一个码元时发生错误的概率。因此在信道带宽有限的条件下,要降低误码率、提高信道频带利用率,需在信号传递前,对其进行脉冲成形处理,改善其频谱特性,产生适合信道传输的波形。

数字系统中常用的的波形成形滤波器有两种。 有限冲激响应滤波器(FIR)和无 限冲激响应滤波器(IIR)。、IIR 数字滤波器方便简单,但它相位的非线性,要求采用全通网络进行相位校正,且稳定性难以保障。FIR 滤波器具有很好的线性相位特性,而且FIR 滤波器的单位抽样响应是有限长的,因而滤波器性能稳定,所以它越来越受到广泛的重视。

FIR 数字滤波器是一个线性时不变系统(LTI ),N 阶因果有限冲激响应滤波器可以用传输函数H (z )来描述,

0()()N

k

k H z h k z -==∑

在时域中,上述有限冲激响应滤波器的输入输出关系如下:

[][][][][]N

k y n x n h n x k h n k ==*=-∑

(0.1)

其中,x[n]和y[n]分别是输入和输出序列。

对于N 阶有限冲激响应滤波器(FIR )而言,直接结构实现的FIR 滤波器共需要N 级数据移位寄存器,N 个乘法器和N -1个加法器。直接结构的FIR 滤波器如下图所示:

通常采用窗函数设计FIR 滤波器。窗函数设计法就是选取某一种合适的理想频率

x(n) h(N-1)

h(N)

1

-y(n)

1

-1

z -

选择型滤波器,并将它的脉冲响应截断以得到一个线性相位和因果的FIR 滤波器。

任何数字滤波器的频率响应()jw H e 都是jw 的同期函数,他的傅里叶级数展开式

为: ()()j w

j w n

H e h n e +∞

-∞=∑

其中:

1sin 2()12c L w n h n L n π?-?

??-??

?????=

-??- ?

?

? 窗函数法就是用窗函数的有限加权系列{w(n)}来修正上式的傅里叶级数,以求得所要求的有限冲击响应序列 ()d h n ,即:

()()()

d h n h n w n = w(n)为有限长序列,当n>N -1或n<0时,w(n)=0。

高斯滤波器等,实现方法有卷积法和查表法。卷积法的原理,是用一系列乘法和加法对信号进行流水线运算,需要消耗大量的乘法器和加法器,设计较复杂,并有一定的延时。文献

[12]

提出了一种分布式算法的设计结构,将传统的乘法运算和累加运

算加以改进,转变为移位、累加运算,降低了硬件消耗,当运算数据的字宽较小时,极大的降低了硬件电路的复杂度,提高了响应速度;但当运算数据的字长较长时,则需要更多的移位迭代运算而不适合高速处理的需求。文献

[10]

提出了采用滤波器的多

相结构与改进DA 算法相结合的一种设计方法,当考虑ISI 码元数目较多时,需要的ROM 表个数就会增多,访问ROM 所需的地址产生电路就会变得复杂。文献[5]

采用了存储器分割技术,可以降低ROM 单元的数量,但是以增加系统的复杂性与响应时延、信号毛刺为代价的。文献

[10,11]

在滤波器设计时采用了CSD 编码,虽然减少了乘法运算,

但是需要设计CSD 编码解码电路。

本文采用二进制基带信号的连续查表法实现在FPGA 上完成信号的脉冲成形,原理是预先将所有可能的成形后的基带波形样本存入ROM ,根据输入序列,从ROM 中查找对应输出波形,这种方法简单直观、速度快,且当码间样点增加时,仅增长地址电路即可,不影响运行时间,可在一定的精度内高效的实现脉冲成形滤波。通过软件仿真波形与理论相比较,确实可以达到预期的脉冲成形效果,证明该方法现实可行。

2 基带脉冲成形滤波器的基本原理和设计方法

2.1 基本原理

脉冲成形滤波器首先要使波形平滑,即使脉冲突变的上升沿和下降沿平缓,频带外的频率衰减加快。

根据乃奎斯特定理[1],为使信号基带传输时无码间串扰,系统冲击响应必须满足

1

0()0

s n x nT n =?=?

≠? (1)

其傅氏变换应满足

()s s m m

X f T T ∞

=-∞

+=∑ (2) 在实际系统中,信带传递函数()H f 由发送滤波器()T H f 、信道和接收滤波器()R H f 组成,即()()()()T R C H f H f H f H f =??,若在设计过程中把传输信道看成理想信道,即

()C H f =1。只要求特定时刻的波形幅值无失真传送,而不必要求整个波形无失真。根

据乃奎斯特第一准则,如果信号经传输后整个波形发生了变化,只要其特定点的抽样值保持不变,那么用再次抽样的方法,仍然可以准确无误地恢复原始信号。满足乃奎斯特第一准则的滤波器有无穷多种,为了满足无码间干扰并实现发射机和接收机的匹配,发射端的脉冲成形滤波器可选择平方根升余弦滤波器,传递函数表示式为

()()11021122102T R H f H f f T

f T T f T

α

αα

α

===

-?≤≤

?-+<≤+?>??

(3)

T 为输入码元的周期,α为滚降系数,取值为01α≤≤。滚降系数α影响着频谱效率,

α越小,频谱效率就越高,但α越小时,升余弦滚降滤波器的抽头系数也越多,设计

和实现比较困难,而且当传输过程中发生线性失真时产生的符号间干扰也比较严重。在无码间串扰条件下所需带宽 W 和码元传输速率 Rs 的关系一般为:W=1/2(1+α)Rs 。在实际工程中,α的范围一般定在 0.15~0.5 之间。 记01/(2)f T =,平方根升余弦冲击响应的表达式为

{}200222()sin[2(1)]4cos[2(1)](16)

h t T f t tT f t t T t T πααπαπ=

-++- (4) 滚降系数分别为0,,05,1的平方根升余弦滤波器冲击响应波形和频谱如图1和图2所示:

图1 平方根升余弦滤波器冲击响应波形 图2 平方根升余弦滤波器的频谱 需指出,升余弦滤波器的严格限频特性,是物理不可实现的,然而由于01α≤≤升余弦滤波器频率特性的平滑性,使得有可能物理可实现滤波器近似实现此频率特性,

以在限带数字通信系统中广泛采用01α≤≤ 的升余弦滤波器。

若取冲击响应截断时间为8T ,此时滤波器的长度适中且截断误差很小;每T 内采样点为8个。为确保()h t 采用后的[]h n 保持第一类线性相位,舍去0()|t h t =样点,同时对

[]h n 右移4个点,采用()h t 中[4,4]t T T ∈-,采样间隔为/8T ,设发送端传递的二进制

数据是{}432101234,,,,,,,,,,a a a a a a a a a ---- ,则发送滤波器的输出波形函数表示为:

44332211001122344()y t a h a h a h a h a h a h a h a h a h --------=++++++

++++

(5)

当前传递信息{}0a 时刻对应的波形上升沿y[1..8]分别由4[57..64]h -,3[49..56]h -,

2[41..48]h -,1[33..40]h -,0[25..32]h ,1[17..24]h ,2[9..16]h ,3[1..8]h 线性表示,即 43210123[0][57][49][41][33][25][17][9][1]y a h a h a h a h a h a h a h a h ----=+++++++ 43210123[1][58][50][42][34][26][18][10][2]y a h a h a h a h a h a h a h a h ----=+++++++

…….. (6) …….. ……..

43210123[7][64][56][48][40][32][24][16][8]y a h a h a h a h a h a h a h a h ----=+++++++

2.2 Matlab 设计与仿真

随着Matlab 通信工具箱和信号处理工具箱的不断完善,使得通信系统的仿真能够用计算机模拟实现,免去了构建实验系统的不便,而且操作简便,只需输入不同的系数就能得到不同情况下系统的性能,从而方便的是设计达到最优化。 Matlab 设计滤波器的步骤如下:

(1)根据工程实际的要求确定滤波器的技术指标和相关参数。

(2)按照(1)中的技术指标,建立数字滤波器模型。由于FIR 滤波器具有稳定、线性相位特性、系数对称、只包含实数算法,不涉及复数运算等突出优点,非常适合相移调制,并且窗函数法设计简便,因此使用的脉冲成形数字滤波器设计选用了窗函数法设计FIR 滤波器。根据给定的滤波器技术指标,选择滤波器长度N 和窗函数ω

(n),使其满足所要求的指标。采用Matlab工具箱中专门用于升余弦FIR滤波器的指令[NUM, DEN] = RCOSINE(Fd, Fs, TYPE_FLAG, R),返回一个具有升余弦过渡带的低通线性相位FIR 滤波器,截止频率是Fd,滚降系数为R,采样频率为Fs,TYPE_FLAG 规定设计的是规范的升余弦滚降滤波器(normal),还是平方根升余弦滤波器(sqrt),用整数的参数DELAY设定延时。

(3)验证设计的滤波器是否符合要求。通过指令freqz([NUM, DEN],1)的到滤波器的幅频特性和相频特性,如图3所示,阻带最小衰减为10dB,满足设计要求。

图3 滤波器的幅频特性和相频特性

建立M-FILE,对滤波器进行仿真,代码如下:

%设置参量,采用8倍采样速率,滚降系数为0.5

Fd=1; Fs=8; Delay=3; R=0.5;

%建立升余弦滚降滤波器

[yf,tf]=rcosine(Fd,Fs,'fir',R,Delay);

%画图得到升余弦滚降滤波器波形

figure(1)

plot(yf);

grid;

xlabel('Time');

ylabel('Amplitude');

title('升余弦滚降滤波器');

%输入随机序列

x=randint(100,1)*2-1;%原始输入信号为+1,-1码

xt=zeros(1,800);

xt(1:8:end)=x;

y=filter(yf,tf,xt);

yt=y((size(yf)+1)/2:8:end);

%画出原始信号波形

figure(2);

stem(x(1:40));

title('原始信号')

%画出将原始信号内插后通过升余弦滚降滤波器后的输出

figure(3);

plot(y(1:100));

title('滤波后输出')

grid;

%画出将图6抽取后输出波形

figure(4)

stem(yt(1:40));

grid;

title('抽取后输出')

得到结果如图4为升余弦滤波器冲击响应波形,图5为原始输入信号,图6为滤波器输出,图7为滤波器输出抽取后输出波形。

图4 升余弦滤波器冲击响应波形 图5 原始输入信号

由图6可见,该信号通过该滤波器可以使波形平滑,有效的改变突变的上升沿和下降沿,消除波形中的高频成分,从而达到降低码间串扰的可能性、提高频带利用率的效果。 比较图5和图7,两者仅存在一定的延迟。恢复了原始信号,选择合适的抽样时刻可以消除码间

干扰。

3.基带脉冲成形滤波器的FPGA 实现方法

3.1 查表法基本原理

图6 滤波器输出 图7 滤波器输出抽取后输出波形

所谓查表法,就是将滤波器的系数事先存入ROM中,工作中根据输入序列查询对应的系数相加输出,不需乘法计算。这样得到的结果和通过卷积得到的结果是一致的。以八倍采样为例,信号“1”采样后得到“1111 1111”,信号“0”采样得到“0000 0000”,由于FIR是有限冲击响应滤波器,对有限长的输入信号,通过与滤波器冲击响应卷积得到的输出信号一定是有限长的。虽然这个输出的信号长度要大于输入信号的长度,但由于其有限长的特性,使某一时刻的输出仅由前面若干个输入信号决定,这若干个输入信号的组合式有限多个,所以在某一时刻的输出波形也是有限个,可以一一算出。该结构实现简单,所需资源较少。只要将系数ROM中的内容稍作改动,完全可以实用于其它任何类型的滤波器。

3.2 实现结构

脉冲成形滤波器的实现采用的查表法结构如图5所示,串行的输入信号采样后的序列进入由触发器组成的8位移位寄存器,采样时钟周期为T/8,即每符号的采样次数为8。ROM0…ROM7里存放的内容为{h(1) …h(8)}, …,{h(57) …h(64)}与216的乘积,用二进制补码表示。表1为由matlab计算得到归一化的平方根升余弦滤波器系数h[n]。由触发器内内容控制是否将对应ROM的值输入累加器,该位是‘1’则输入累加器,‘0’则不进入累加器,然后由累加器输出16位的y(n)。8个采样周期后,新的带成形数据从低位移入8位移位寄存器,即一个码元持续的时间有8个相应状态输出。

图8 脉冲成形滤波器的实现所采用的查表法结构

表1 平方根升余弦滤波器的64个h[n]

3.3仿真结果

Quartus仿真结果如图6所示,采样时钟周期为1.25ns,码元周期为10ns,一个码元持续时间内系统有8个样点输出。输出波形不改变输入波形所携带的信息,仅有一定的时延。脉冲波形经过该滤波器可以明显使波形平滑,有效的改变突变的上升沿和下降沿,消除波形中的高频成分,从而达到降低码间串扰的可能性、提高频带利用率的效果。

图9 quartusII仿真结果

4.结论

本文分析了数字通信中基带脉冲成形滤波器的原理和运算方法,得出了它的查表结构,采用连续的地址映射,设计出了一种适合FPGA实现的方法。该方法实现简单,仅需要一定的ROM资源,运行速度达到了最优,当截断码元数目增多或码内采样点数目增加时,仅通过改变地址移位寄存器的长度或计数器的长度和ROM的数量即可,不会增加电路设计的复杂度和运行时间。而且对参数稍做修改,就可以实现同种类别的各种滤波器,适用性极佳。仿真结果表明基于查表法的基带数字脉冲成形滤波器的性能优越,能适应各种实际要求。

参考文献:

[1]周炯磐,庞沁华,续大我,通信原理(合订本)北京邮电大学出版社.

[2]严城. 基带脉冲成形数字滤波器的MATLAB设计与DSP上的实现.西安:西安电子科技大学.

[3]罗常青,沈业兵,王爱华.基带内插脉冲成形滤波器的FPGA实现.北京理工大学信息科学技术学院.

[4]赵林军. 根升余弦脉冲成形滤波器FPGA实现, 陕西汉中:陕西理工学院.

[5]王建新,蒋立平,吉训生,陈小梅. 基带成形滤波器的FPGA实现.南京: 南京理工大学.

[6]孙肖子,任爱锋,郭万有,孙波,温玉屏.基带信号数字滤波器的FPGA实现.西安:西安电子科技大学电子工程学院

[7]卢莹莹,潘长勇.高阶平方根升余弦滚降滤波器的FPGA实现. 北京:清华大

学电子工程系.

[8]文安平. 平方根升余弦滚降数字滤波器的设计与实现.武汉: 武汉科技大学

信息科学与工程学院.

[9]李殿为,马永奎.基于FPGA和高速数模转换器的脉冲成形.哈尔滨:哈尔滨

工业大学.

[10]张会生,王效洪,耿光辉.基于FPGA实现根升余弦滤波器的设计.西安:西

北工业大学电子信息学院.

[11] 张维良,郭兴波,潘长勇.平方根升余弦滚降FIR数字滤波器的设计..电

讯技术,2002,42(6):51—55.

[12] 魏灵,杨日杰,崔旭涛.基于分布式算法的数字滤波器设计.海军航空工程

学院信息融合研究所

致谢

此篇论文得以完成,首先要感谢楚育军老师的细心指导。从最初的定题,到资料收集,到写作、修改,到论文定稿,她给了我耐心的指导和无私的帮助。为了知道我们的毕业论文,放弃了自己的休息时间,这种无私奉献的敬业精神令人钦佩,在此我向她表示我诚挚的谢意。

感谢各位任课老师和同学们在这四年来给我的指导和帮助,让我在华中师范大学物理学院这个大舞台上得到获取知识、锻炼能力、完善自我的机会,正是由于你们,我才能在各方面取得显著地进步并顺利的完成学业。

在此文即将完成之际,我衷心的感谢在此过程中帮助过我的每个人,在这里请接收我最诚挚的谢意!由于时间仓促、自身等原因,文章错误疏漏之处在所难免,恳请

各位老师斧正。

FIR数字滤波器设计与使用

实验报告 课程名称:数字信号处理指导老师:刘英成绩:_________________实验名称: FIR数字滤波器设计与使用同组学生姓名:__________ 一、实验目的和要求 设计和应用FIR低通滤波器。掌握FIR数字滤波器的窗函数设计法,了解设计参数(窗型、窗长)的影响。 二、实验内容和步骤 编写MATLAB程序,完成以下工作。 2-1 设计两个FIR低通滤波器,截止频率 C =0.5。 (1)用矩形窗,窗长N=41。得出第一个滤波器的单位抽样响应序列h 1(n)。记下h 1 (n) 的各个抽样值,显示h 1 (n)的图形(用stem(.))。求出该滤波器的频率响应(的N 个抽样)H 1(k),显示|H 1 (k)|的图形(用plot(.))。 (2)用汉明窗,窗长N=41。得出第二个滤波器的单位抽样响应序列h 2(n)。记下h 2 (n) 的各个抽样值,显示h 2(n)的图形。求出滤波器的频率响应H 2 (k),显示|H 2 (k)|的 图形。 (3)由图形,比较h 1(n)与h 2 (n)的差异,|H 1 (k)|与|H 2 (k)|的差异。 2-2 产生长度为200点、均值为零的随机信号序列x(n)(用rand(1,200)0.5)。显示x(n)。 求出并显示其幅度谱|X(k)|,观察特征。 2-3 滤波 (1)将x(n)作为输入,经过第一个滤波器后的输出序列记为y 1(n),其幅度谱记为|Y 1 (k)|。 显示|X(k)|与|Y 1 (k)|,讨论滤波前后信号的频谱特征。 (2)将x(n)作为输入,经过第二个滤波器后的输出序列记为y 2(n),其幅度谱记为|Y 2 (k)|。 比较|Y 1(k)|与|Y 2 (k)|的图形,讨论不同的窗函数设计出的滤波器的滤波效果。 2-4 设计第三个FIR低通滤波器,截止频率 C =0.5。用矩形窗,窗长N=127。用它对x(n)进行滤波。显示输出信号y

实验五:FIR数字滤波器设计与软件实现

实验五:FIR数字滤波器设计与软件实现 一、实验指导 1.实验目的 (1)掌握用窗函数法设计FIR数字滤波器的原理和方法。 (2)掌握用等波纹最佳逼近法设计FIR数字滤波器的原理和方法。 (3)掌握FIR滤波器的快速卷积实现原理。 (4)学会调用MATLAB函数设计与实现FIR滤波器。 2.实验容及步骤 (1)认真复习第七章中用窗函数法和等波纹最佳逼近法设计FIR数字滤波器的原理; (2)调用信号产生函数xtg产生具有加性噪声的信号xt,并自动显示xt及其频谱,如图1所示; 图1 具有加性噪声的信号x(t)及其频谱如图 (3)请设计低通滤波器,从高频噪声中提取xt中的单频调幅信号,要求信号幅频失真小于0.1dB,将噪声频谱衰减60dB。先观察xt的频谱,确定滤波器指标参数。 (4)根据滤波器指标选择合适的窗函数,计算窗函数的长度N,调用MATLAB函数fir1设计一个FIR低通滤波器。并编写程序,调用MATLAB快速卷积函数fftfilt实现对xt的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (4)重复(3),滤波器指标不变,但改用等波纹最佳逼近法,调用MATLAB函数remezord 和remez设计FIR数字滤波器。并比较两种设计方法设计的滤波器阶数。 提示:○1MATLAB函数fir1的功能及其调用格式请查阅教材; ○2采样频率Fs=1000Hz,采样周期T=1/Fs; ○3根据图1(b)和实验要求,可选择滤波器指标参数:通带截止频率fp=120Hz,阻带截

至频率fs=150Hz ,换算成数字频率,通带截止频率p 20.24p f ωπ=T =π,通带最大衰为0.1dB ,阻带截至频率s 20.3s f ωπ=T =π,阻带最小衰为60dB 。 ○ 4实验程序框图如图2所示,供读者参考。 图2 实验程序框图 4.思考题 (1)如果给定通带截止频率和阻带截止频率以及阻带最小衰减,如何用窗函数法设计线性相位低通滤波器?请写出设计步骤. (2)如果要求用窗函数法设计带通滤波器,且给定通带上、下截止频率为pl ω和pu ω,阻带上、下截止频率为sl ω和su ω,试求理想带通滤波器的截止频率cl cu ωω和。 (3)解释为什么对同样的技术指标,用等波纹最佳逼近法设计的滤波器阶数低? 5.信号产生函数xtg 程序清单(见教材) 二、 滤波器参数及实验程序清单 1、滤波器参数选取 根据实验指导的提示③选择滤波器指标参数: 通带截止频率fp=120Hz ,阻带截至频率fs=150Hz 。代入采样频率Fs=1000Hz ,换算成数字频率,通带截止频率p 20.24p f ωπ=T =π,通带最大衰为0.1dB ,阻带截至频率

第4章___数字滤波器设计要点

第四章数字滤波 第二节数字滤波的设计 通信与电子信息当中,在对信号作分析与处理时,常会用到有用信号叠加无用噪声的问题。这些噪声信号有的是与信号同时产生的,有的是在传输过程中混入的,在接收的信号中,必须消除或减弱噪声干扰,这是信号处理中十分重要的问题。根据有用信号与噪声的不同特性,消除或减弱噪声,提取有用信号的过程就称为滤波。滤波器的种类很多,实现方法也多种多样,本章利用Matlab来进行数字滤波器的 设计。 数字滤波器是一离散时间系统,它对输入序列x(n)进行加工处理后,输出序列 y(n),并使y(n)的频谱与x(n)的频谱相比发生某种变化。 由DSP理论得知,无限长冲激响应(IIR)需要递归模型来实现,有限长冲激 响应(FIR)滤波器可以采用递归的方式也可采用非递归的方式实现。本章把FIR 与IIR滤波器分别用Matlab进行分析与设计。数字滤波器的结构参看《数字信号处理》一书。 数字滤波器的设计一般经过三个步骤: 1.给出所需滤波器的技术指标。 2.设计一个H(Z),使其逼近所需要的技术指标。 3.实现所设计的H(Z)。 4.2.1 IIR数字滤波器设计 设计IIR数字滤波器的任务就是寻求一个因果、物理可实现的系统函数H(z),使它的频响H(e jω)满足所希望得到的低通频域指标,即通带衰减A p、阻带衰减A r、通带截频ωp、阻带截频ωr。而其它形式的滤波器由低通的变化得到。 采用间接法设计IIR数字滤波器就是按给定的指标,先设计一个模拟滤波器,

进而通过模拟域与数字域的变换,求得物理可实现的数字滤波器。从模拟滤波器变换到数字滤波器常用的有:脉冲响应不变法和双线性变换法。IIR滤波器的设计 过程如下 数字频域指标→模拟频域指标→设计模拟滤波器H(S) →设计数字滤波器H(z) 1. 模拟滤波器简介 模拟滤波器的设计方法已经发展得十分成熟,常用的高性能模拟低通滤波器有巴特沃斯型、切比雪夫型和椭圆型,而高通、带通、带阻滤波器则可以通过对低通进行频率变换来求得。必须指出,这三种滤波器都是非线性的相频特性。具体设计方法参见《数字信号处理》一书。 (1)巴特沃斯低通滤波器的特点是:通、阻带均为单调下降。这种单调下降的特性使得系统的误差分布不均匀。在设计中,如果在通带满足指标,阻带指标就过于好了。或者说,在阻带满足指标,通带指标也过于好了。这样不利于以最小的阶数来满足设计指标。 (2)切比雪夫模拟低通滤波器分成Ι型和ΙΙ型:Ι型是通带等波纹,阻带单调下降;ΙΙ型滤波器是通带单调下降,阻带等波纹。切比雪夫的等波纹特性使得可以用较小的阶数设计出符合指标的滤波器。 (3)椭圆滤波器特点是通、阻带均为等波纹。椭圆滤波器的误差均匀分布在通、阻带,比较上面几种滤波器,在同样衰耗指标下,椭圆滤波器所要求的阶数最小。在同样阶数情况下,椭圆滤波器的通带到阻带的变化最陡峭、性能最好。 2.模拟滤波器映射到数字滤波器 从模拟滤波器变换到数字滤波器常用的有:冲激响应不变法和双线性变换法。(1)冲激响应不变法

滤波器设计步骤及实现程序

数字滤波器的设计步骤及程序实现 湖南理工学院信息与通信工程学院 一、IIR 脉冲响应不变法设计步骤 1、已知实际数字指标as s ap p ,,,ωω 2、将数字指标化为原型模拟指标As s Ap p ,,,ΩΩ,可设T=pi, T /ω=Ω 3、求原型模拟滤波器的c N Ω,,其中:??? ???ΩΩ--=)/lg(2)]110/()110lg[(10/10/s p A A s p N N A p cp p 210 /1 10 -Ω= Ω N A s cs s 210 /1 10 -Ω= Ω ][cs cp c ΩΩ∈Ω, 4、根据N 写出归一化原型系统函数)(p G a 5、用c s p Ω=/代入得原型系统函数c s p a a p G s H Ω==/)()( 6、将)(s H a 化为部分分式展开形式∑-=k k a s s A s H )( 7、写出)(z H 的极点T s k k e z =,并写出)(z H 的部分分式展开形式∑--?= 11)(z z A T z H k k 8、将)(z H 化为分子分母形式,验证设计结果。 二、IIR 双线性变换法设计步骤 1、已知实际数字指标as s ap p ,,,ωω 2、将数字指标化为原型模拟指标As s Ap p ,,,ΩΩ,可设T=2, 2 tan 2ω?= ΩT 3、求原型模拟滤波器的c N Ω,,其中:?? ? ???ΩΩ--=)/lg(2)]110/()110lg[(10/10/s p A A s p N N A p cp p 210 /1 10 -Ω= Ω N A s cs s 210 /1 10 -Ω= Ω ][cs cp c ΩΩ∈Ω, 4、根据N 写出归一化原型系统函数)(p G a 5、用c s p Ω=/代入得原型系统函数c s p a a p G s H Ω==/) ()( 6、用11 112--+-?=Z Z T s 代入原型系统函数)(s H a 得1 1 112)()(--+-? ==Z Z T s a s H z H 8、将)(z H 整理成分子分母形式,验证设计结果。

数字滤波器设计与分析

吉林大学仪器科学与电气工程学院本科生实习报告 实习题目:信号分析和处理 实习时间:2012.09 专业:电气工程及其自动化 所在班级:65100615 学生姓名:王双伟 指导教师:朱凯光田宝凤林婷婷

信号实习报告 一.实验目的 加深对信号系统与信号处理理论的理解,学会信号处理的基本知识和方法,并在基本技能方面得到系统训练;熟悉MA TLAB编程环境,掌握MA TLAB编程基本技能,以及程序调试仿真方法,能够采用MATLAB语言和工具进行信号处理;掌握现代信号分析与处理技术,包括信号频谱分析和数字滤波器(FIR、IIR)设计,学会信号处理系统设计与系统功能检测的基本方法;将理论知识与实际应用结合,提高学生解决实际问题的动手能力,为信号系统与信号处理知识的应用、后续专业学习以及今后从事相关科学研究和实际工作打下坚实基础。二.实验工具 计算机,matlab软件 三.实验内容 设计FIR数字带通滤波器,对于给定函数s=sin(2πx100t)+sin(2πx200t)+sin(2πx400t),设计带通滤波器滤除100和400赫兹的频率,并画出滤波前后的时频图及滤波器的增益图。 f1=100;f2=200;f3=400; fs=2000; m=(0.3*f1)/(fs/2); M=round(8/m); N=M-1; fc=[0.15,0.3]; b=fir1(N,fc); figure(1) [h,f]=freqz(b,1,1000); plot(f*fs/(2*pi),20*log10(abs(h))) xlabel('频率/赫兹'); ylabel('增益/分贝'); title('滤波器的增益响应'); figure(2) subplot(211) t=0:1/fs:0.5; s=sin(2*pi*f1*t)+sin(2*pi*f2*t)+sin(2*pi*f3*t); plot(t,s); xlabel('时间/秒'); ylabel('幅度'); title('信号滤波前时域图');

FIR滤波器程序设计要点

FIR滤波器程序设计 中文摘要 21世纪是数字化的时代,随着信息处理技术的飞速发展,DSP(数字信号处理器)技术逐渐发展成为一门主流技术,它在电子信息、通信、软件无线电、自动控制、仪表技术、信息家电等高科技领域得到了越来越广泛的应用。相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率响应特性可做成非常接近于理想的特性,且精度可以达到很高,容易集成等,这些优势决定了数字滤波器的应用越来越广泛,工程上常用它来做信号处理、数据传送和抑制干扰等。。同时DSP的出现和迅速发展也促进了数字滤波器的发展,并为数字滤波器的硬件实现提供了更多的选择。 本课题主要应用MATLAB软件设计FIR数字滤波器,并对所设计的滤波器进行仿真;应用DSP集成开发环境—CCS调试汇编程序,用TMS320C5402来实现了FIR数字滤波。具体工作包括:对FIR数字滤波器的基本理论进行了分析和探讨;采用MATLAB软件来学习数字滤波器的基本知识,计算数字滤波器的系数,研究算法的可行性,对FIR低通数字滤波器进行前期的设计和仿真;系统介绍了TI公司TMS320C54x系列数字信号处理器的硬件结构、性能特点和DSP的集成开发环境CCS;应用DSP集成开发环境—CCS调试汇编程序,用TMS320C5402来实现了FIR数字滤波。 关键词:频率抽样,FIR滤波器,Matlab,TMS320C5402,CCS,仿真

FIR滤波器程序设计 1设计任务和目的 1.1设计题目 FIR滤波器程序设计 1.2设计目的 1)掌握DSP编程的过程和指令的使用; 2)熟悉运用CCS集成开发环境进行仿真和TMS320C5402的基本功能和使用方法; 3)熟悉FIR滤波器的实现方法和设计过程。 2设计思路 DSP是一种实时、快速、特别适合于实现各种数字信号处理运算的微处理器。由于它由具有丰富的硬件资源、改进的哈佛结构、高速数据处理能力和强大的指令系统。 数字滤波器的设计可分为5个独立的阶段:滤波器技术规范、系数计算、实现结构、误差分析和滤波器实现。滤波器技术规范与应用有关,且应该包括振幅和相位特性的规范。 系数计算本质上就是求出满足所期望的规范的h(n)值。计算FIR滤波器系数最常用的方法有三种:窗口方法,频率抽样方法,最佳方法。窗口方法是最容易的,但是缺乏灵活性,特别是当通带波纹和阻带波纹不同时更是如此。频率抽样方法非常适合FIR滤波器的递归实现,频率抽样法也适合那些除了要求标准频率选择性滤波器(低通、高通、带通和带阻)之外的滤波器。最佳方法是最高效和灵活的一种设计方法。三中最常用的FIR滤波器结构是横向结构、频率抽样结构和快速卷积结构。横向结构包含一个使用滤波器系数的直接卷积;频率抽样结构直接同系数计算的频率抽样方法相联系。结构的选择与具体的应用有关。此处选择横向结构。 长字长的或者高阻带衰减的FIR滤波器的性能可能会受到有限字长的影响。例如,系数量化后他们的频率响应可能会发生变化。因而应当对这些滤波器的特性进行检查以确保允许的合适的字长。 在完成好前四步后,通常要考虑实现问题,以及考虑软件编程或选择结构的硬件实现。

基于MATLAB的数字滤波器的设计程序

IIR 低通滤波器的设计程序为: Ft=8000; Fp=1000; Fs=1200; As=100 ; Ap=1; wp=2*pi*Fp/Ft; ws=2*pi*Fs/Ft; fp=2*Fp*tan(wp/2); fs=2*Fs*tan(ws/2); [n11,wn11]=buttord(wp,ws,1,50,'s'); [b11,a11]=butter(n11,wn11,'s'); [num11,den11]=bilinear(b11,a11,0.5); [h,w]=freqz(num11,den11); axes(handles.axes1); plot(w*8000*0.5/pi,abs(h)); xlabel('Frequency/Hz'); ylabel('Magnitude'); title('巴特沃斯数字低通滤波器'); 巴特沃斯带通滤波器设计程序为: Ft=8000; Fp1=1200; Fp2=3000; Fs1=1000; Fs2=3200; As=100; Ap=1; wp1=tan(pi*Fp1/Ft); wp2=tan(pi*Fp2/Ft); ws1=tan(pi*Fs1/Ft); ws2=tan(pi*Fs2/Ft); w=wp1*wp2/ws2;

bw=wp2-wp1; wp=1; ws=(wp1*wp2-w.^2)/(bw*w); [n12,wn12]=buttord(wp,ws,1,50,'s'); [b12,a12]=butter(n12,wn12,'s'); [num2,den2]=lp2bp(b12,a12,sqrt(wp1*wp2),bw); [num12,den12]=bilinear(num2,den2,0.5); [h,w]=freqz(num12,den12); plot(w*8000*0.5/pi,abs(h)); axis([0 4000 0 1.5]); xlabel('Frequency/Hz'); ylabel('Magnitude'); title('巴特沃斯数字带通滤波器'); IIR 高通滤波器的设计程序为: Ft=8000; Fp=4000; Fs=3500; wp1=tan(pi*Fp/Ft); ws1=tan(pi*Fs/Ft); wp=1; ws=wp1*wp/ws1; [n13,wn13]=cheb1ord(wp,ws,1,50,'s'); [b13,a13]=cheby1(n13,1,wn13,'s'); [num,den]=lp2hp(b13,a13,wn13); [num13,den13]=bilinear(num,den,0.5); [h,w]=freqz(num13,den13); axes(handles.axes1); plot(w*21000*0.5/pi,abs(h)); xlabel('Frequency/Hz'); ylabel('Magnitude'); title('切比雪夫Ⅰ型数字高通滤波器');

FIR数字滤波器设计及软件实现

实验五:FIR数字滤波器设计及软件实现 一、实验目的: (1)掌握用窗函数法设计FIR数字滤波器的原理和方法。 (2)掌握用等波纹最佳逼近法设计FIR数字滤波器的原理和方法。 (3)掌握FIR滤波器的快速卷积实现原理。 (4)学会调用MATLAB函数设计与实现FIR滤波器。 二、实验容及步骤: (1)认真复习第七章中用窗函数法和等波纹最佳逼近法设计FIR数字滤波器的原理; (2)调用信号产生函数xtg产生具有加性噪声的信号xt,并自动显示xt及其频谱,如图1所示; 图1 具有加性噪声的信号x(t)及其频谱如图 (3)请设计低通滤波器,从高频噪声中提取xt中的单频调幅信号,要求信号幅频失真小于0.1dB,将噪声频谱衰减60dB。先观察xt的频谱,确定滤波器指标参数。 (4)根据滤波器指标选择合适的窗函数,计算窗函数的长度N,调用MATLAB函数fir1设计一个FIR低通滤波器。并编写程序,调用MATLAB快速卷积函数fftfilt实现对xt的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (4)重复(3),滤波器指标不变,但改用等波纹最佳逼近法,调用MATLAB函数remezord和remez设计FIR数字滤波器。并比较两种设计方法设计的滤波器阶数。 友情提示: ○1MATLAB函数fir1和fftfilt的功能及其调用格式请查阅本课本;

○ 2采样频率Fs=1000Hz ,采样周期T=1/Fs ; ○ 3根据图10.6.1(b)和实验要求,可选择滤波器指标参数:通带截止频率fp=120Hz ,阻带截至频率fs=150Hz ,换算成数字频率,通带截止频率 p 20.24p f ωπ=T =π,通带最大衰为0.1dB ,阻带截至频率s 20.3s f ωπ=T =π,阻带最小衰为60dB 。] ○ 4实验程序框图如图2所示。 图2 实验程序框图 三、实验程序: 1、信号产生函数xtg 程序清单: %xt=xtg(N) 产生一个长度为N,有加性高频噪声的单频调幅信号xt,采样频率Fs=1000Hz %载波频率fc=Fs/10=100Hz,调制正弦波频率f0=fc/10=10Hz. function xt=xtg N=1000;Fs=1000;T=1/Fs;Tp=N*T; t=0:T:(N-1)*T; fc=Fs/10;f0=fc/10; %载波频率fc=Fs/10,单频调制信号频率为f0=Fc/10;

标准实验报告二 脉冲成型实验

电子科技大学 实验报告 学生姓名:学号:指导教师: 一、实验室名称:通信信号处理及传输实验室 二、实验项目名称:脉冲成型实验 三、实验原理: 1、脉冲成型的理论基础 在现代无线通信中,由于基带信号的频谱范围都比较宽,为了有效利用信道,在信号传输之前,都要对信号进行频谱压缩,使其在消除码间干扰和达到最佳检测的前提下,大大提高频带的利用率。 奈奎斯特是第一个解决既能克服符号间干扰又保持小的传输带宽问题的人。他发现只要把通信系统(包括发射机、信道和接收机)的整个响应设计成在接收机端每个抽样时刻只对当前的符号有响应,而对其他符号的响应全等于零,那么符号间干扰ISI的影响就能完全被抵消,即消除符号间干扰的奈奎斯特(Nyquist) 第l准则。如图1所示。 图1 无码间串扰示意图

在理论上,Nyquist 第l 准则成功地解决了成形滤波器的设计问题,但是它只给出了一个抽象的理论准则,而对于如何具体设计成形滤波器并没有一个明确的答案。 由于数字技术的发展,基带信号的频谱成形可通过数字方法进行。利用数字式处理来实现频谱波形成形滤波的情况越来越广泛。数字滤波具有精度高、可靠性高、灵活性强、便于大规模集成、可以得到很高的性能指标等优点,可实现有限冲激响应 (FlR)滤波器或无限冲激响应滤波(IIR)滤波器。FIR 滤波器可做到严格的线性相位,设计方法既有从时域出发考虑的加窗法,从频域出发考虑的频率采样法、等波纹最佳一致逼近法,也有综合考虑频域和时域要求的最优化设计方法(线性规划法)。 在实际应用中,升余弦滤波器是运用较为广泛的成形滤波器,因为它有如下的优点: 1)满足Nyquist 第1准则; 2)可以消除理想低通滤波器设计上的困难,有一平滑的过渡带; 3)通过引入滚降系数改变传输信号的成形波形,可以减小抽样定时脉冲误差所带来的影响,即降低码间干扰。 升余弦滤波器的传递函数为: ()()()()()()??? ????+>+≤≤-??????? ? ???? ??+-+-≤≤=s s s s s RC T f T f T f T T f f H 2/102/12/1212cos 1212/101 αααααπα, 其中,α是滚降因子,取值范围0到1。当0=α时,升余弦滚降滤波器对应于具有最小带宽的矩形滤波器。这种滤波器的冲激响应可由对其传递函数做傅里叶变换得到: ()()()()() ???? ?? -??? ??=2 2/41/cos /sin s s s RC T t T t T t t h απαππ。 图2是升余弦滤波器的频域传递函数及时域冲激响应,其中(a )是频域传

数字滤波器设计步骤

数字信号处理 数字滤波器的设计 学院计算机与电子信息学院 专业电子信息科学与技术班级电子15-2 班姓名学号 指导教师刘利民

数字滤波器的设计 一、模拟低通滤波器的设计方法 1、B utterw orth 滤波器设计步骤: ⑴。确定阶次N ① 已知Ωc 、Ωs 和As 求Bu tt er worth DF 阶数N ② 已知Ωc 、Ωs 和Ω=Ωp (3dB p Ω≠-)的衰减A p 求Bu tterwort h DF 阶数N ③ 已知Ωp、Ωs和Ω=Ωp 的衰减A p 和As 求B utte rwo rth DF 阶数N /10 /1022(/)101,(/)101p s A A N N p c s c ΩΩ=-ΩΩ=-则:

⑵.用阶次N 确定 ()a H s 根据公式: 1,2,2N ()()a a H s H s -在左半平面的极点即为()a H s 的极点,因而 2,,N 2、切比雪夫低通滤波器设计步骤: ⑴.确定技术指标p Ω p α s Ω s α 归一化: /1p p p λ=ΩΩ= /s s p λ=ΩΩ ⑵.根据技术指标求出滤波器阶数N 及ε: 0.12 10 1δε=- p δα= ⑶.求出归一化系统函数 其中极点由下式求出:

或者由N 和S直接查表得()a H p 二、数字低通滤波器的设计步骤: 1、 确定数字低通滤波器的技术指标:通带截止频率p ω、通带最大衰减系数 p α、 阻带截止频率ω、阻带最小衰减系数s α。 2、 将数字低通滤波器的技术指标转换成模拟低通滤波器的技术指标。 巴特沃斯: 切比雪夫:/s s p λ=ΩΩ 0.1210 1δ ε=- p δα=

FIR数字滤波器设计与软件实现(精)讲解学习

实验二:FIR 数字滤波器设计与软件实现 一、实验指导 1.实验目的 (1掌握用窗函数法设计 FIR 数字滤波器的原理和方法。 (2掌握用等波纹最佳逼近法设计 FIR 数字滤波器的原理和方法。 (3掌握 FIR 滤波器的快速卷积实现原理。 (4学会调用 MA TLAB 函数设计与实现 FIR 滤波器。 2. 实验内容及步骤 (1认真复习第七章中用窗函数法和等波纹最佳逼近法设计 FIR 数字滤波器的原理; (2调用信号产生函数 xtg 产生具有加性噪声的信号 xt ,并自动显示 xt 及其频谱,如图 1所示;

图 1 具有加性噪声的信号 x(t及其频谱如图 (3请设计低通滤波器,从高频噪声中提取 xt 中的单频调幅信号,要求信号幅频失真小于 0.1dB ,将噪声频谱衰减 60dB 。先观察 xt 的频谱,确定滤波器指标参数。 (4根据滤波器指标选择合适的窗函数,计算窗函数的长度 N ,调用 MATLAB 函数 fir1设计一个 FIR 低通滤波器。并编写程序,调用 MATLAB 快速卷积函数 fftfilt 实现对 xt 的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (5 重复 (3 , 滤波器指标不变, 但改用等波纹最佳逼近法, 调用MA TLAB 函数 remezord 和 remez 设计 FIR 数字滤波器。并比较两种设计方法设计的滤波器阶数。 提示:○ 1MA TLAB 函数 fir1的功能及其调用格式请查阅教材; ○ 2采样频率 Fs=1000Hz,采样周期 T=1/Fs;

○ 3根据图 1(b和实验要求,可选择滤波器指标参数:通带截止频率 fp=120Hz,阻带截 至频率 fs=150Hz, 换算成数字频率, 通带截止频率 p 20.24 p f ωπ =T=π, 通带最大衰为 0.1dB , 阻带截至频率 s 20.3 s f ωπ =T=π,阻带最小衰为 60dB 。 3、实验程序框图如图 2所示,供读者参考。 图 2 实验程序框图 4.信号产生函数 xtg 程序清单(见教材 二、滤波器参数及实验程序清单 1、滤波器参数选取 根据实验指导的提示③选择滤波器指标参数: 通带截止频率 fp=120Hz,阻带截至频率 fs=150Hz。代入采样频率 Fs=1000Hz,换算成 数字频率,通带截止频率 p 20.24 p f

ads设计的滤波器.

1 课题背景 随着信息化浪潮的推进,现代社会产生了巨大的信息要求,通信技术正在向高速、多频段、大容量方向发展。目前移动通信中所使用的主要频率为0.8-1.0GHz,全球GSM频段分为4段,即850/900/1800/1900MHz。在宽带移动化方面,IEEE802工作组先后制定了WLAN和WiMAX等技术规范,希望能沿着固定、游牧/便携、移动这样的演进路线逐步实现宽带移动化,常用的WLAN通信频段标准为IEEE802.1b/g(2.4-2.5GHz)和IEEE802.11a(5.2-5.8GHz)。为了在移动环境下实现宽带数据传输,IEEE802.16WiMAX成了宽带移动的主要里程碑,促进了移动宽带的演进和发展,2.3-2.4GHz和3.4-3.6GHz频段均被划分为WiMAX的全球性统一无线电频段。这正是S波段的应用,因此如何研究出高性能,小型化的滤波器是目前电路设计的的关键之一。 当频率达到或接近GHz时,滤波器通常由分布参数元件构成,分布参数不仅可以构成低通滤波器,而且可以构成带通和带阻滤波器。平行耦合微带传输线由两个无屏蔽的平行微带传输线紧靠在一起构成,由于两个传输线之间电磁场的相互作用,在两个传输线之间会有功率耦合,这种传输线也因此称为耦合传输线。平行耦合微带线可以构成带通滤波器,这种滤波器是由四分之一波长耦合线段构成,它是一种常用的分布参数带通滤波器。 当两个无屏蔽的传输线紧靠一起时,由于传输线之间电磁场的相互作用,在传输线之间会有功率耦合,这种传输线称之为耦合传输线。根据传输线理论,每条单独的微带线都等价为小段串联电感和小段并联电容。每条微带线的特性阻抗为Z0,相互耦合的部分长度为L,微带线的宽度为W,微带线之间的距离为S,偶模特性阻抗为Z e,奇模特性阻抗为Z0。单个微带线单元虽然具有滤波特性,但其不能提供陡峭的通带到阻带的过渡。 如果将多个单元级联,级联后的网络可以具有良好的滤波特性。如图1.1所示。

带通滤波器设计步骤

带通滤波器设计步骤 1、根据需求选择合适的低通滤波器原型 2、把带通滤波器带宽作为低通滤波器的截止频率,根据抑制点的频率距离带通滤波器中心频点距离的两倍作为需要抑制的频率,换算抑制频率与截止频率的比值,得出m 的值,然后根据m 值选择低通滤波器的原型参数值。 滤波器的时域特性 任何信号通过滤波器都会产生时延。Bessel filter 是特殊的滤波器在于对于通带内的所有频率而言,引入的时延都是恒定的。这就意味着相对于输入,输出信号的相位变化与工作的频率是成比例的。而其他类型的滤波器(如Butterworth, Chebyshev,inverse Chebyshev,and Causer )在输出信号中引入的相位变化与频率不成比例。相位随频率变化的速率称之为群延迟(group delay )。群延迟随滤波器级数的增加而增加。 模拟滤波器的归一化 归一化的滤波器是通带截止频率为w=1radian/s, 也就是1/2πHz 或约0.159Hz 。这主要是因为电抗元件在1弧度的时候,描述比较简单,XL=L, XC=1/C ,计算也可以大大简化。归一化的无源滤波器的特征阻抗为1欧姆。归一化的理由就是简化计算。 Bessel filter 特征:通带平坦,阻带具有微小的起伏。阻带的衰减相对缓慢,直到原理截止频率高次谐波点的地方。原理截止频率点的衰减具有的经验公式为n*6dB/octave ,其中,n 表示滤波器的阶数,octave 表示是频率的加倍。例如,3阶滤波器,将有18dB/octave 的衰减变化。正是由于在截止频率的缓慢变化,使得它有较好的时域响应。 Bessel 响应的本质截止频率是在与能够给出1s 延迟的点,这个点依赖于滤波器的阶数。 逆切比雪夫LPF 原型参数计算公式(Inverse Chebyshev filter parameters calculate equiations ) ) (cosh )(cosh 11Ω=--Cn n 其中 1101.0-=A Cn , A 为抑制频率点的衰减值,以dB 为单位;Ω为抑制频率与截止频率的比值 例:假设LPF 的3dB 截止频率为10Hz,在15Hz 的频点需要抑制20dB,则有: 95.91020*1.0==Cn ;Ω=15/10=1.5 1.39624.0988.2) 5.1(cosh )95.9(cosh 11===--n ,因此,滤波器的阶数至少应该为4

数字滤波器的设计及实现

数字滤波器的设计及实现 【一】设计目的 1. 熟悉IIR 数字滤波器和FIR 数字滤波器的设计原理和方法; 2. 学会调用MATLAB 信号处理工具箱中的滤波器设计函数设计各种IIR 和FIR 数字滤波器,学会根据滤波要求确定滤波器指标参数; 3. 掌握用IIR 和FIR 数字滤波器的MA TLAB 实现方法,并能绘制滤波器的幅频特性、相频特性; 4. 通过观察滤波器的输入、输出信号的时域波形及其频谱,建立数字滤波的概念。 【二】设计原理 抑制载波单频调幅信号的数学表达式为 []))(2cos())(2cos(2 1)2cos()2cos()(000t f f t f f t f t f t s c c c ++-==ππππ (2.1) 其中,)2cos(t f c π称为载波,c f 为载波频率,)2cos(0t f π称为单频调制信号,0f 为调制正弦波信号频率,且满足0c f f >。由(2.1)式可见,所谓抑制载波单频调制信号,就是两个正弦信号相乘,它有2个频率成分:和频c f +0f ,差频c f -0f ,这两个频率成分关于载波频率c f 对称。所以,1路抑制载波单频调幅信号的频谱图是关于载波频率c f 对称的两根谱线。 复合信号st 产生函数mstg 清单: function st=mstg %产生信号序列st ,并显示st 的时域波形和频谱 %st=mstg 返回三路调幅信号相加形成的混合信号,长度N=800 N=800; %信号长度N 为800 Fs=10000;T=1/Fs;Tp=N*T; %采样频率Fs=10kHz ,Tp 为采样时间 t=0:T:(N-1)*T;k=0:N-1;f=k/Tp; fc1=Fs/10; %第1路调幅信号载波频率fc1=1000Hz fm1=fc1/10; %第1路调幅信号的调制信号频率fm1=100Hz fc2=Fs/20; %第2路调幅信号载波频率fc2=500Hz fm2=fc2/10; %第2路调幅信号的调制信号频率fm2=50Hz fc3=Fs/40; %第3路调幅信号载波频率fc3=250Hz fm3=fc3/10; %第3路调幅信号的调制信号频率fm3=25Hz xt1=cos(2*pi*fm1*t).*cos(2*pi*fc1*t); %产生第1路调幅信号 xt2=cos(2*pi*fm2*t).*cos(2*pi*fc2*t); %产生第2路调幅信号 xt3=cos(2*pi*fm3*t).*cos(2*pi*fc3*t); %产生第3路调幅信号 st=xt1+xt2+xt3; %三路信号相加,得到复合信号

脉冲成形滤波器的设计

分类号论文选题类型 U D C 编号 本科毕业论文(设计) 题目脉冲成形滤波器的设计 院(系)物理科学与技术学院 专业电子信息科学技术 年级2007级 学生姓名张力 学号2007213154 指导教师楚育军 二○一一年五月

华中师范大学 学位论文原创性声明 本人郑重声明:所呈交的学位论文是本人在导师指导下独立进行研究工作所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。本人完全意识到本声明的法律后果由本人承担。 学位论文作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保障、使用学位论文的规定,同意学校保留并向有关学位论文管理部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权省级优秀学士学位论文评选机构将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 本学位论文属于 1、保密□,在_____年解密后适用本授权书。 2、不保密□。 (请在以上相应方框内打“√”) 学位论文作者签名:日期:年月日 导师签名:日期:年月日

目录 内容摘要 (1) 关键词 (1) Abstract (1) Key Words (1) 1.引言 (2) 2.基带脉冲成形滤波的基本原理和设计方法 (3) 2.1基本原理 (3) 2.2 Matlab设计与仿真 (5) 3.基带脉冲成形滤波器的FPGA实现方法 (8) 3.1查表法基本原理 (8) 3.2实现结构 (8) 3.3 quartus仿真结果 (10) 4.结论 (11) 参考文献 (11) 致谢 (12)

IIR数字滤波器的设计要点

数字信号处理课程设计报告 题目: IIR数字滤波器的设计 学院:化工过程自动化学院 专业班级: 学号: 姓名: 指导教师: 起止日期:2015年6月22日~2015年6月28日

目录 1课程设计的意义与任务要求 (1) 1.1课程设计的意义 (1) 1.2课程设计的任务要求 (1) 2课程设计的理论基础 (1) 2.1数字滤波器简介 (2) 2.2IIR数字滤波器的设计原理 (2) 2.3IR数字滤波器的特点 (3) 3 MATLAB软件介绍 (3) 3.1MATLAB软件介绍 (3) 3.2MATLAB应用领域 (4) 3.3MATLAB相关语句 (4) 4课程设计的具体内容 (5) 4.1数字滤波器设计步骤 (5) 4.2脉冲响应不变法和双线性变换法的变换原理和步骤. 错误!未定义书签。 4.2.1脉冲响应不变法的变换原理和步骤 ........... 错误!未定义书签。 4.2.2双线性变换法的变换原理和步骤 (6) 4.3实验步骤及运行程序 (6) 5课程设计的总结与心得 (10) 参考文献 (11)

1、课程设计的意义与任务要求 1.1 课程设计的意义 数字滤波器是具有一定传输选择特性的数字信号处理装置,其输入、输出均为数字信号,实质上是一个由有限精度算法实现的线性时不变离散系统。它的基本工作原理是利用离散系统特性对系统输入信号进行加工和变换,改变输入序列的频谱或信号波形,让有用频率的信号分量输出。数字滤波器和模拟滤波器有着相同的滤波概念,根据其频率响应特性可分为低通、高通、带通、带阻等类型,与模拟滤波器相比,数字滤波器除了具有数字信号处理的固有优点外,还有滤波精度高、稳定性好、、灵活性强等优点。 1.2 课程设计的任务要求 (1)熟悉用脉冲响应不变法和双线性变换法设计IIR数字滤波器的原理与方法; (2)学会调用MATLAB信号处理工具箱中滤波器设计函数设计IIR数字滤波器,学会根据滤波需求确定滤波器指标参数。 2、课程设计的理论基础 利用MATLAB信号处理工具箱中的滤波器设计和分析工具(FDATool)可以很方便地设计出符合应用要求的未经量化的IIR数字滤波器。需要将MATLAB设计出的IIR数字滤波器进一步分解和量化,从而获得可用FPGA实现的滤波器系数。IIR数字滤波器的设计方法有两类:间接设计法和直接设计法。间接设计法是借助模拟滤波器设计方法进行设计的,先根据数字滤波器设计指标设计相应的过渡模拟滤波器,再将过渡模拟滤波器转换为数字滤波器。直接设计法师在时域或频域直接设计数字滤波器。 由于模拟滤波器设计理论非常成熟,而且有很多性能优良的典型滤波器可供选择(如,巴特沃斯滤波器、切比雪夫滤波器、椭圆滤波器等),设计公式和图表完善,而且许多实际应用需要模拟滤波器的数字仿真,所以间接设计法得到广泛的应用。而直接设计法要求解联立方程组,必须采用计算机辅助设计。在计算机普及的今天,各种设计方法都有现成的设计程序(或设计函数)可供调用,

matlab数字滤波器设计程序

%要求设计一butterworth低通数字滤波器,wp=30hz,ws=40hz,rp=0.5,rs=40,fs=100hz。>>wp=30;ws=40;rp=0.5;rs=40;fs=100; >>wp=30*2*pi;ws=40*2*pi; >> [n,wn]=buttord(wp,ws,rp,rs,'s'); >> [z,p,k]=buttap(n); >> [num,den]=zp2tf(z,p,k); >> [num1,den1]=impinvar(num,den); Warning: The output is not correct/robust. Coeffs of B(s)/A(s) are real, but B(z)/A(z) has complex coeffs. Probable cause is rooting of high-order repeated poles in A(s). > In impinvar at 124 >> [num2,den2]=bilinear(num,den,100); >> [h,w]=freqz(num1,den1); >> [h1,w1]=freqz(num2,den2); >>subplot(1,2,1); >>plot(w*fs/(2*pi),abs(h)); >>subplot(1,2,2); >>plot(w1*fs/(2*pi),abs(h1)); >>figure(1); >>subplot(1,2,1); >>zplane(num1,den1); >>subplot(1,2,2); >>zplane(num2,den2);

FIR数字滤波器设计与软件实现

一、实验目的 (1)掌握用窗函数法设计FIR数字滤波器的原理和方法。 (2)掌握用等波纹最佳逼近法设计FIR数字滤波器的原理和方法。 (3)掌握FIR滤波器的快速卷积实现原理。 (4)学会调用MA TLAB函数设计与实现FIR滤波器。 二、实验内容及步骤 (1)认真复习第七章中用窗函数法和等波纹最佳逼近法设计FIR数字滤波器的原理; (2)调用信号产生函数xtg产生具有加性噪声的信号xt,并自动显示xt及其频谱,如图10.5.1所示; 图10.5.1 具有加性噪声的信号x(t)及其频谱如图 (3)请设计低通滤波器,从高频噪声中提取xt中的单频调幅信号,要求信号幅频失真小于0.1dB,将噪声频谱衰减60dB。先观察xt的频谱,确定滤波器指标参数。 (4)根据滤波器指标选择合适的窗函数,计算窗函数的长度N,调用MATLAB函数fir1设计一个FIR低通滤波器。并编写程序,调用MATLAB快速卷积函数fftfilt实现对xt的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (4)重复(3),滤波器指标不变,但改用等波纹最佳逼近法,调用MATLAB函数remezord和remez 设计FIR数字滤波器。并比较两种设计方法设计的滤波器阶数。 提示:○1MA TLAB函数fir1和fftfilt的功能及其调用格式请查阅本书第7章和第?章; ○2采样频率Fs=1000Hz,采样周期T=1/Fs; ○3根据图10.6.1(b)和实验要求,可选择滤波器指标参数:通带截止频率fp=120Hz,阻带截至频率 fs=150Hz,换算成数字频率,通带截止频率 p 20.24 p f ωπ =T=π,通带最大衰为0.1dB,阻带截至频率 s 20.3 s f ωπ =T=π,阻带最小衰为60dB。] ○4实验程序框图如图10.5.2所示。

相关文档
最新文档