数字电路——2-4译码器设计

数字电路——2-4译码器设计
数字电路——2-4译码器设计

目录

1 绪论 (1)

1.1设计背景 (1)

2 电路分析 (2)

2.1 2-4功能分析 (2)

2.2 2-4译码器逻辑图 (3)

3 系统建模与仿真 (4)

3.1 建模 (4)

3.2 仿真波形 (5)

4 仿真结果分析 (8)

5 小结与体会 (9)

参考文献 (10)

1 绪论

1.1设计背景

在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这

种功能的电路称为码转化电路。译码器就属于其中一种。而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。

1.2 matlab简介

MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学

计算软件的先进水平。它主要由

MATLAB和Simulink两大部分组成。本设计主要采用simulink进行设计与仿真。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。掌握这个软件的应用具有十分重要的意义。

2 电路分析

2.1 2-4译码器功能分析

2-4译码器有2个输入端,4个输出端和一个使能端。在使能端为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为相反电平。输出信号可以是高电平有效,也可以是低电平有效。具体来说,2输入变量,A1 ,A0共有4种不同状态组合,因而译码器有4个输出信号03

并且输出为低电平有效,其真值表如表1所示

表1 2-4译码器真值表

输入输出

A0 A1Y0Y1Y2Y3

1 0 0 0 0

X

1

1

X

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

另外设置了使能控制器,当其为1时,无论A1,A0为何种状态输出全为1,译码

器处于非工作状态。而当为0时,对应的A1,A0的某种状态组合,其中只有一个输出为0,其余各输出量均为1.例如,A1 A0=00,输出0为0,03均为1.由此可见,2-4译码器是通过输出端的逻辑电平以识别不同的代码。而根据此,可写出其各输出端的逻辑表达式

0=(1)

1=(2)

2= (3)

3=(4)

2.2 2-4译码器逻辑图

由上面的分析可得2-4译码器的逻辑图

图2 2-4译码器逻辑图

3 系统建模与仿真

3.1建模

在了解2-4译码器逻辑图与表达式后,可在simulink中建立模型并仿真。首先对应电路的原理框图,利用框图在simulink的mdl文件里画出原理图,调整原理图里的每个模型的参数,使之符合所需的要求。再进行连线,开始仿真,在scope和fft scope里面看波形是否符合预想标准。2-4译码器的仿真模型如图3所示。

图3 2-4译码器仿真模型

如图3所示,constant表示的值,为了使译码器能正常工作,将constant值设为1。Pulse generator 1与2是2输入端,表示A1,A0的电平显示,通过它们可以显示连续的脉冲,观察输出的波形。通过设定00,01,10,11这四种情况,观察模型是否能正常工作。再连线3个2输入与非门与4个三输入与非门。最后的输出接scope,观察显示的波形。

3.2 仿真波形

当使能端为1时,仿真波形如图4所示

图4 使能端为高电平波形图

对应的输出波形如图5所示

图5对应使能端为高电平时输出波形

而当设定使能端为低电平,设定其2输入端的输入波形如下,含有11,01,10,00四种情况,如图6所示

图6 输入端的输入波形

由Y0,Y1,Y2,Y3观察到的波形如图7所示

图7 输出端的输出波形

相关主题
相关文档
最新文档