基于FPGA的LED_16×16点阵汉字显示设计(终搞)范文

基于FPGA的LED_16×16点阵汉字显示设计(终搞)范文
基于FPGA的LED_16×16点阵汉字显示设计(终搞)范文

基于FPGA的LED显示屏的设计

丁莹莹

(陕西理工学院物理与电信工程学院通信工程专业2010级3班,陕西汉中)

指导教师:郑争兵

[摘要]主要研究基于FPGA的 Led点阵汉字滚动显示。首先描述了基于现场可编程门阵(FPGA)的硬件电路,以及点阵显示汉字的原理;然后在单个16X16 LED发光二极管点阵上滚动汉字的原理;最后给出了描述其功能的VHDL 语言程序设计方法。通过编程、调试、仿真、下载正确地实现了汉字滚动显示扫描结果,其硬件系统的实验验证也获得了与软件模拟仿真结论相吻合的结果。

[关键词]LED点阵;FPGA;VHDL语言;汉字滚动显示

The design of LED display based on FPGA

Ding Yingying

(Grade10,Class3,Major of Communication Engineering,School of Physics and Telecommunication Engineering , Shaanxi University of Technology, Hanzhong ,Shaanxi)

Tutor: Zhen Zhengbing

[Abstract]Primary research is based on FPGA, Led Scrolling dot matrix characters. First described based on field programmable gate array (FPGA) hardware circuit, as well as the principle character dot matrix display; and then in a single 16X16 LED scrolling LED dot matrix on the principles of Chinese characters; Finally, the VHDL description language program of its functions design. Through programming, debugging, simulation, download the correct character scroll achieved scan results, the experimental verification of its hardware and software are also obtained findings consistent with simulation results.

[Keywords]LED dot-matrix; FPGA; VHDL language; character scrolling displa

2

目录

第一章前言 (1)

1.1本设计的研究背景和研究目的 (1)

1.2LED点阵显示特点 (1)

1.3FPGA设计的特点 (1)

第二章系统设计 (3)

2.1设计任务与要求 (3)

2.1.1设计任务 (3)

2.1.2设计要求 (3)

2.2设计原理 (3)

2.2.1总体设计方案 (3)

2.2.2方案的比较 (4)

2.3扫描控制模块 (4)

2.3.1 LED的显示原理 (4)

2.3.2汉字的存储 (5)

2.4汉字显示 (5)

2.4.1列循环扫描 (5)

2.4.2字符样式设计 (6)

2.4.3字母循环扫描及期间的延时环节 (9)

2.5整个完整的程序 (9)

第三章系统调试与仿真 (14)

3.1开发环境介绍 (14)

3.2调试与仿真 (14)

3.2.1 创建工程 (14)

3.2.2 编译前设置 (14)

3.2.3 全程编译 (16)

3.2.4时序仿真 (17)

第四章结束语 (18)

答谢辞 (18)

参考文献 (18)

4

1.引言

目前,在机场、饭店等很多公共场所都能看到美观、实用的电子显示屏,它以高清、节能、控制简单、寿命长、耐冲击、性能稳定、成本低廉、环保等优势迅速占领市场。

作为大型平板显示设备的一种LED显示屏以其使用寿命长、维护费用低、功耗低等特点在显示领域占有重要的位置。特别在近年,带有红、绿、蓝三基色以及灰度显示效果的全彩LED显示屏,以其丰富多彩的显示效果而倍受业界关注,成为LED显示屏市场近年增长幅度比较大的产品。寿命、单位面积亮度、三基色的偏差程度、点距、对比度、灰度等级(包括灰度级数和线性度)、扫描频率等指标性能是衡量或横向比较大型显示设备好坏的标准。而这些指标性能的优劣,很大程度上决定于扫描控制器的性能。因此对大屏幕全彩LED显示扫描控制方法的研究有着重要的意义。随着显示屏尺寸的扩大、亮度要求的增加,数据传输和控制的时间也会增加,如果仍然采用单片机作为控制器,将会影响显示效果,严重时可能无法正常工作,然而,这时若采用可编程逻辑器件作为控制器,则可解决这一难题。一方面,随着微电子技术的发展和生产工艺的提高,器件的性能大有很大的提高,出现了高性能的现场可编程逻辑器件(FPGA),FPGA具有处理速度高、可靠性高、高容量和集成度高等特点,在大屏幕显示系统设计中使用FPGA可以满足现在的LED大屏幕系统对于处理视频数据的高速要求,同时改善电路的性能,缩小系统的体积。设计中使用硬件描述语言进行电路设计,可以随时根据设计需要进行修改,而不必对硬件进行修改,它使系统的设计和调试非常方便,大大的缩短了产品的开发周期,降低了开发成本,也方便以后的系统升级。

(1)研究现状

LED照明发展非常迅速,年增长率超过60%,随着LED发光效率的不断提升,封装技术不断改进,驱动陆能和寿命的增加,LED照明技术在未来5年内会逐渐进入千家万户。在世界各国环保议题日渐重视的趋势下,LED照明产业将扮演极重要的角色,其主要应用在于室内、室外照明以及街灯等高功率产品。据悉,2009年全球照明市场约1219亿美元,LED仅占0.5%,显见其未来潜力之可观。其中,以亚太地区的市场规模为最大、约占全球33.7%之比例,居次为北美的30.1%和欧洲之27.4%。以应用产品来讨论,户外照明约占12%,则具有相当大的成长空间,尤其以占有全球38%户外照明的中国市场为最。此外,值得关注的部分是受政府政策及推广影响较为直接且快速的街灯应用可望成为照明产业中快速成长的第一棒。预估在欧美优先领起的趋势中,2010年全球可达到450万盏LED 街灯的水平,并且承于国际加紧节能减碳的脚步,一但路灯标准规格普及,中国市场可望占有世界50%以上的规模。

LED驱动器技术的发展体现在两个方面:第一,离线式高功率因数校正可调光LED驱动器可替代卤素灯、白炽灯和荧光灯;第二,LED驱动器能高效替代低压卤素灯。以上两种应用需要为LED 提供电能及热能保护,以增加其耐用性。其他发展趋势还包括优化驱动器以提供最佳功效,并非将电流最大化。

基于FPGA芯片控制全彩LED大屏幕图像显示系统系统设计随着数字技术的飞速发展,各种数字显示屏也随即涌现出来有LED、LCD、DLP等,各种数字大屏幕的控制系统多种多样,有用ARM+FPGA 脱机控制系统,也有用PC+DVI接口解码芯片+FPGA芯片联机LED显示板控制系统。尽管大尺寸液晶显示在未来的几年还有相当大的市场份额,但针对大尺寸直下式背光源的驱动和控制芯片的开发才刚刚有几年的历史。针对LED背光源的特点,可以将复杂的控制技术和信号处理技术融合到背光源技术中,目前的做法是采用FPGA,各家均有不同的算法和控制方法。随着背光技术和数字电视技术的发展,背光的控制算法及驱动方法的规范化,为了降低成本,将背光控制单元、屏显控制和电视的机芯微处理器由一个微处理器统一实现将是一种技术趋势。

1

(2)发展趋势

高亮度 LED 为未来趋势:高亮度 LED 的三大市场为:汽车车灯市场、交通市场及全彩 LED 显示屏。

1)汽车车灯市场方面,红色高亮度 LED 应用于汽车第三煞车灯,而左右尾灯、方向灯及车边标识灯,可使用红色或黄色高亮度 LED,而汽车仪表板上则需要各种颜色的高亮度 LED,故汽车市场商机庞大。

2)交通号志方面,使用高亮度 LED 主要为节省能源,且在阳光照射下可仍清楚辨识。依据资料显示,目前全球约有 2000 万座交通号志,而每一个红、黄、绿灯估计需要使用 200 颗高亮度 LED,故一座交通号志约需 600 颗高亮度 LED,如果考虑每年新设的交通号志加上更换旧交通号志,估计每年大约有200 万座,以每座更新成本约 1.5 万台币计算,未来每年全球交通号志估计约有300 亿的市场价值。

3)全彩 LED 显示屏方面,目前高亮度 LED 已可以产生红、绿、蓝三原色的光,组成大型全彩 LED 显示屏,目前大型 LED 看板的使用以日本、中国、香港、韩国、台湾、新加坡等亚洲地区为主,欧洲及美国其次。中国大陆运用大型户外 LED 看板宣达政令,故有其一定之需求;欧洲方面,常见的应用是文字显示及气象预报图像,另也盛行使用 LED 显示屏做为广告招牌。目前目前高亮度 LED 厂商持续 costdown,市场接受度已逐渐提高,且高亮度 LED 价格高于传统 LED,厂商投入意愿较大,未来可望逐渐取代传统亮度 LED 市场。

目前,基于FPGA(现场可编程门阵列)的LED显示屏的设计应用广泛,美国和中国台湾地区逻辑电路设计和制造厂家大都以Verilog HDL为主,中国大陆地区目前学习使用Verilog HDL已经超过VHDL。从使用的角度看,对于集成电路(ASIC)设计人员来说,多是掌握verilog,因为在IC设计领域,90%以上的公司都是采用verilog进行IC设计。而对于PLD/FPGA设计者而言,两种语言没有太大差别。

(3) 课题研究意义

随着我国经济的高速发展,对公共场合发布信息的需求日益增长,利用LED点阵滚动显示汉字的出现正好适应了这一市场需求,已经成为信息传播的一种重要手段。

采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制约逻辑电路来进行PCB板级的系统集成。尽管这种方案有单片机软件的支持较为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是难以避免要付出较多研发经费和较长投放市场周期的代价。随着电子设计自动化(EDA)技术的进展,基于可编程FPGA器件进行系统芯片集成的新设计方法,也正在快速地到代基于PCB板的传统设计方式。因此,本设计的研究是很有必要的,之所以基于FPGA设计是因为现场可编程门阵列(FPGA)设计周期小,灵活度高,适合用于小批量系统,提高系统的可靠性和集成度。并且采用编写灵活的Verilog HDL语言编写主程序。

(4)论文结构

论文主要从方案论证及选择、硬件电路设计、系统软件设计、制作与调试等几大方面来介绍基于FPGA的LED显示屏的设计和制作过程。其中方案论证及选择主要从两种可以实现基于FPGA

的LED显示屏的设计方案中选择一个成本低、易操作、系统性能较高的方案。其中主要设计包括:系统软件设计、ROM存储、点阵汉字字模的提取。系统软件设计主要是设计FPGA芯片进行控制所需要的相关程序,这部分程序采用Verilog语言进行编写。最后的制作与调试主要是进行ROM和点阵汉字的显示并对点阵个点扫描显示进行相关测试,检测是否能达到设计原理实现的功能。最后总结完善设计思路与程序,正确完成汉字的现实与滚动。

2

1.2 LED点阵显示特点

(1)可以显示各种数字、文字、图表、曲线、图形;

(2)采用纯红、高绿作双基色发光器件,发光亮度高,色彩鲜艳、丰富;

(3)显示效果清晰、稳定、功耗低、寿命长;

(4)优质铝合金结构,磨沙、银镜或钛金不锈钢包边。尺寸和规格可根据需要灵活组合;

(5)支持各种计算机网络,编辑软件丰富、易用;

(6)适用于室内、外所有信息发布及广告宣传场所。如:银行、证券交易所、商场、市场、宾馆、洒楼、电信、邮政、医院、车站、机场等。

1.3 FPGA设计的特点

FPGA 通常被认为是ASIC 实现的一种替代手段. 一般ASIC 包括三种, 既全定制、半定制(含标准单元和门阵列) 以及可编程器件。对于前两种, 需要支付不可重复使用的工程费用NRE (Non recurring Engineering) , 主要用于芯片的流片、中测、分析的工程开销, 一次费用一般在 1 万至数万美元以上。如果一次不成功、返工、甚至多次返工,NRE 费用将要上升。成本高、风险大, 而通常对每个ASIC 品种的需求量往往不大,NRE 费用分摊到每个产品上价太高, 用户无法接受。而对于可编程器件PLD (Programmable Logic Device) 正是可以解决上述问题的新型ASIC, PLD 以其操作灵活、使用方便、开发迅速、投资风险小等突出优点, 特别适合于产品开发初期、科研样品研制或小批量的产品. FPGA 是一种新型的PLD, 其除了具有PLD 的优点外, 其规模比一般的PLD 的规模大。目前,Xilinx 推出的XC4025 可以达到25000 门的规模,Altera 公司的FLEX10K100 系列芯片可达到十万门的规模,完全可以满足用户的一

3

般设计需要。

FPGA 的主要特点是: 寄存器数目多, 采用查找表计数,适合时序逻辑设计。但是互连复杂, 由于互连采用开关矩阵,因而使得延时估计往往不十分准确。

FPGA 也有其自身的局限性, 其一就是器件规模的限制,其二就是单元延迟比较大。所以, 在设计者选定某一FPGA器件后, 要求设计者对器件的结构、性能作深入的了解, 在体系结构设计时, 就必须考虑到器件本身的结构及性能, 尽可能使设计的结构满足器件本身的要求. 这样就增加了设计的难度。

离开对FPGA 结构的详细了解, 设计人员就不可能优化设计。因而设计人员必须了解FPGA 器件的特性和限制, 熟悉FPGA 的结构。

在了解FPGA 结构特点的基础上, 就可以利用VHDL 语言描写出高效的电路描述实现性能优化的电路。

4

2.1 方案论证与选择

2.1.1 FPGA芯片选择

当今主要设计和生产厂家有Xilinx、Altera、Lattice、Atmel等公司。其中Xilinx、Altera规模最大,市场占有率也最高。其中Altera更适合教学使用,对于初学者来说入门门槛比较低。同时,Altera公司给学习者提供很好的服务和支持。工艺方面,Xilinx 和Altera生产的FPGA都是基于SRAM的工艺的,需要在使用时外接一个片外存储以保存程序。上电时,FPGA将外部存储器中的数据读入片内RAM,完成配置后,进入工作状态;掉电后恢复为白片,内部逻辑消失。这样不仅能反复使用,还无需专门的FPGA编程器,只需通用的EPROM、PROM编程器即可。本设计采用较为普遍的Altera公司的EP3C5FF484C8N芯片。

2.1.2 串行通信模块

输入接口模块提供PC上位机到FPGA核心板传输数据的接口。输入接口是通过USB口以及JTAG下载线来实现从PC上位机传输数据至下位机。上位机使用字模提取工具将待显示的数据发送至下位机, JTAG下载线实现PC到核心板的下载。FPGA核心板与LED显示模块之间的通信也是通过USB-blaser实现的。

2.1.3 LED点阵屏及驱动电路

本设计采用16×16LED点阵屏由4块8×8LED点阵拼接而成,每一块点阵都有8行8 列,因此总共有16根行控制线和16根列控制线。

方案一:在LED点阵驱动电路中,采用1片4线/16线译码器74HC154作为行驱动,选用2片74HC154占用15个FPGA的I/O口(包括两个enable端)。

方案二:使用4个移位寄存器74HC595(带存储器)驱动16*16的点阵,两个74HC595

负责列扫描数据,两个74HC595 负责行扫描数据。最终只需要制作成16*16LED点阵。

本设计所使用的16×16的点阵,EDA实验箱上有其接口电路,STR,SI,SCK,且实验箱

上采用的是4个74HC595来实现行扫描和列扫描的。

方案比较及确定:

首先,考虑占用I/O口数量,方案一使用了15个I/O口,而方案二只使用了5个。

其次,考虑制作成本,一片74HC154大约四元,而74HC595只需0.7元。

再次,EDA试验箱上的FPGA主控芯片和点阵驱动电路完全符合此设计所需的条件。

5

6

而方案一需要购买开发板和4块点阵,成本比较大。又因本设计的硬件电路简单,所以不必再去浪费资源,学校已经花了好几万买了EDA 试验箱。

综合以上分析,本设计采用方案二,不仅大大的减少了I/O 资源的占用,而且缩减了

制作成本。

3.1 系统组成

本设计使用Altera 公司的FPGA 芯片完成16*16点阵式LED 点阵显示屏的显示,配

备相应的PC 机软件,实现上位机与下位机的通信,实现左右移动显示形式,基于FPGA 的LED 点阵显示屏的系统。

框图如图2-1所示:

图2-1 系统总体框图

FPGA 控制模块、点阵显示模块、上位机通信模块的协同工作,点阵显示模块实现

LED 点阵的驱动和显示功能。驱动部分使用4个移位寄存器74HC595,74HC595(

35mA )的功能是8位串行输入转并行输出移位寄存器。两个74HC595 负责列扫描数据,两个74HC164 负责行扫描数据。LED 点阵是由4个大小为 32mm*32mm 的 8*8 共阳点阵组成16*16 点阵,可以显示一个汉字,点阵屏可拆装,采用圆孔铜排针,连接性能非常好。串口通信部分通过RS232串口实现。用户可以通过上位机软件发送待显示的数据给FPGA 控制系统。

74595的驱动功能介绍:

74595和74164功能相仿,都是8位串行输入转并行输出移位寄存器74164的驱动

电流(25mA )比74595(35mA )的要小,14脚封装,体积也小一些。

74595的主要优点是具有数据存储寄存器,在移位过程中,输出端的数据可以保持

不变。这在串行速度慢的场合很有用出,数码管或发光二极管没有闪烁感。与164只有数据清零端相比较,595还多有输出端时能/禁止控制端,可以使输出为高阻态。

74595的数据端:

机 FPGA 控制系统 点阵显示模块

串口通信

7

QA —QH:8位并行输出端,可以直接控制数码管的8个段。

QH :级联输出端。我将它接下一个595的SI 端。

SI :串行数据输入端。

74595的控制端说明:/SCLR(10脚):低电平时将移位寄存器的数据清零。接VCC 。

SCK (11脚):上升沿时数据寄存器的数据移位。QA 、QB 、QC 、、、、、、QH :下降沿移

位寄存器数据不变。

RCK (12脚):上升沿时移位寄存器的数据进入数据存储寄存器,下降沿时存储寄

存器数据不变。通常我们将RCK 置为低,当移位结束后,在RCK 端产生一个正脉冲,更新显示数据。

2.2 工作原理

本设计的工作原理为:采用FPGA 为核心控制模块,通过接收上位机数据控制LED

点阵显示信息。

3.3扫描控制模块

3.3.1 LED 的显示原理

16×16扫描LED 点阵的工作原理同8位扫描数码管类似。它有16个共阴极输出端

口,每个共阴极对应有16个 LED 显示灯,所以其扫描译码地址需4位信号线(SEL0-SEL3),其汉字扫描码由16位段地址(0-15)输入。 通过时钟的每列扫描显示完整汉字。

图2-2 LED 灯信号 图2-3 16×16点阵LED 等效电路

点阵LED一般采用扫描式显示,实际运用分为三种方式:

(1)点扫描

(2)行扫描

(3)列扫描

若使用第一种方式,其扫描频率必须大于16×64=1024Hz,周期小于1ms即可。若使用第二和第三种方式,则频率必须大于16×8=128Hz,周期小于7.8ms即可符合视觉暂留要求。此外一次驱动一列或一行(8颗LED)时需外加驱动电路提高电流,否则LED 亮度会不足。

2.3.2汉字的存储

用动态分时扫描技术使LED点阵模块显示图像,需要进行两步工作。第一步是获得数据并保存,即在存贮器中建立汉字数据库。第二步是在扫描模块的控制下,配合行扫描的次序正确地输出这些数据。获得图像数据的步骤是,先将要显示的每一幅图像画在一个如图3.3所示的被分成16×16共256个小方格的矩形框中,再在有笔划下落处的小方格里填上“1”,无笔划处填上“0”,这样就形成了与这个汉字所对应的二进制数据在该矩形框上的分布,再将此分布关系以32×16的数据结构组成64个字节的数据,并保存在只读存贮器ROM中。以这种方式将若干个汉字的数据贮存在存贮器内,就完成了图像数据库的建立工作。

8

图2-4 16×16LED点阵模块

2.4 汉字显示

汉字显示使用的是16×16的点阵,EDA实验箱上有其接口电路,列选信号为SEL0,SEL1,SEL2,SEL3,经4线16线译码器输出16列,从左起为第一列,列选信号是由一个4位向量SEL[3..0]控制;行选信号为H0~H15,是由16个行信号组成的,每一行由一个单独的位来控制,高电平有效。例如“0000”表示第0列,“00001”表示第一行的点亮。由于列是由一个向量决定,而每一时刻的值只能有一个固定的值,因而只能使某一列的若干个点亮,因此就决定了只能用逐列扫描的方法。例如要使第一列的2,4,6,8,行亮,则列为“0001”、行为“01010”就可以实现了。

4.系统软件设计

4.1程序设计

程序流程图如图4.1所示。

9

10 时钟分频

数据输入是否

计数到32串行数据输入

数据移位

移位寄存器的数据进

入存储寄存器

数据移位 数据存储的地

址是否等于16

地址位给予低电平结束开始

是 否

时钟分频的上升沿是否到来否滚动位计数是否等于96

滚动位清零

滚动位自加1否数据寄存器的地址位自加1数据寄存器的地址送到ROM

数据自加1,数据寄存器的数据左移一位

是 否

图4.1 整体软件流程图

下面是各个部分的程序设计:

3.4.1列循环扫描

列循环扫描

通过对每一列的扫描来完成对字母的现实,只要扫描的频率足够快,就能给人以连续的感觉。因此要控制扫描的频率,不能太低,否则,就会造成视觉上的不连续,本设计的扫描频率不得低于50Hz,扫描程序如下:

11

3.4.2字符样式设计

字符样式设计

本环节是建立一个数据库,使之能在扫描的同时读取所需要的信息,从而完成汉字的显示。本次设计“陕、西、理、工、学、院”汉字样式设计程序如下:

2.4.3字母循环扫描及期间的延时环节

为使汉字不断地循环显示,并且使每个汉子之间有停顿,就需要在中间加一定的延时和循环环节。在这一环节中,可以通过修改其数值来控制每个字母的显示时间。

其程序如下:

always@(posedge CLK or negedge Reset)

begin

if(!Reset)

begin

Clk_Cont <= 0;

R_Data <=96'd0;

H_Data <=16'd0;

end

else

begin

Clk_Cont <= Clk_Cont +1;

R_Data <= (Rom_Data <

H_Data <= 1<

end

end

reg [3:0]Row_cnt;

reg [31:0] Rom_Data_r;

always@(posedge CLK or negedge Reset)

begin

if(!Reset)

begin

State <= 0;

ROW <= 0;

R1 <= 1'b0;

LI <= 1'b0;

12

SK <= 1'b0;

Row_cnt <=4'd0;

ROM_ADDRESS <= 5'd0;

Rom_Data_r <= {~H_Data,R_Data[95:80]};

Data_Cont <= 0;

end

else

begin

case(State)

4'd0: begin

if(Data_Cont==8'd32) begin Data_Cont <= 0; State <= 4'd3;

end

else if(!DIV_CLK) begin SK <= 1'b0; State <= 4'd1; end

end

4'd1: begin

R1 <= Rom_Data_r[31];

if(DIV_CLK) begin SK <= 1'b1; State <= 4'd2; end

end

4'd2: begin

Data_Cont <= Data_Cont +8'd1;

Rom_Data_r <= (Rom_Data_r<<1); State <= 4'd0;

end

4'd3: begin

LI <= 1'b0;

State <= 4'd4;

end

4'd4: begin

LI <= 1'b1;

State <= 4'd5;

end

4'd5: begin

LI <= 1'b0;

State <= 4'd6;

end

4'd6: begin

if(ROM_ADDRESS == 5'd15)

begin

ROM_ADDRESS <= 5'd0;

end

else ROM_ADDRESS <= ROM_ADDRESS + 5'd1;

State <= 4'd7;

end

4'd7: begin

ROW <= ROM_ADDRESS;

13

Rom_Data_r <= {~H_Data,R_Data[95:80]};

State <= 4'd0;

end

endcase

end

end

reg [7:0]Move_cnt;

always@(posedge Clk_Cont[23] or negedge Reset)

begin

if(!Reset)

begin

Move_cnt <= 8'd0;

end

else if(Move_cnt == 8'd95) Move_cnt <= 8'd0;

else Move_cnt <= Move_cnt + 8'd1;

end

2.5 整个完整的程序

module led(LK,Reset,Rom_Data,ROM_ADDRESS,R1,SK,LI);

input CLK;

input Reset;

input [95:0] Rom_Data;

output [4:0] ROM_ADDRESS;

output R1;

output SK;

output LI;

reg R1,SK,LI;

reg [3:0] ROW;

reg [4:0] ROM_ADDRESS;

reg [31:0] Clk_Cont;

reg [3:0]State;

wire DIV_CLK;

assign DIV_CLK = Clk_Cont[4];

reg [7:0] Data_Cont;

reg [95:0] R_Data;

reg [15:0] H_Data;

always@(posedge CLK or negedge Reset)

begin

if(!Reset)

begin

Clk_Cont <= 0;

R_Data <=96'd0;

H_Data <=16'd0;

14

end

else

begin

Clk_Cont <= Clk_Cont +1;

R_Data <= (Rom_Data <

H_Data <= 1<

end

end

reg [3:0]Row_cnt;

reg [31:0] Rom_Data_r;

always@(posedge CLK or negedge Reset)

begin

if(!Reset)

begin

State <= 0;

ROW <= 0;

R1 <= 1'b0;

LI <= 1'b0;

SK <= 1'b0;

Row_cnt <=4'd0;

ROM_ADDRESS <= 5'd0;

Rom_Data_r <= {~H_Data,R_Data[95:80]};

Data_Cont <= 0;

end

else

begin

case(State)

4'd0: begin

if(Data_Cont==8'd32) begin Data_Cont <= 0; State <= 4'd3; end

else if(!DIV_CLK) begin SK <= 1'b0; State <= 4'd1; end end

4'd1: begin

R1 <= Rom_Data_r[31];

if(DIV_CLK) begin SK <= 1'b1; State <= 4'd2; end

end

4'd2: begin

Data_Cont <= Data_Cont +8'd1;

Rom_Data_r <= (Rom_Data_r<<1); State <= 4'd0;

end

4'd3: begin

LI <= 1'b0;

State <= 4'd4;

end

15

4'd4: begin

LI <= 1'b1;

State <= 4'd5;

end

4'd5: begin

LI <= 1'b0;

State <= 4'd6;

end

4'd6: begin

if(ROM_ADDRESS == 5'd15)

begin

ROM_ADDRESS <= 5'd0;

end

else ROM_ADDRESS <= ROM_ADDRESS + 5'd1;

State <= 4'd7;

end

4'd7: begin

ROW <= ROM_ADDRESS;

Rom_Data_r <= {~H_Data,R_Data[95:80]}; State <= 4'd0;

end

endcase

end

end

reg [7:0]Move_cnt;

always@(posedge Clk_Cont[23] or negedge Reset)

begin

if(!Reset)

begin

Move_cnt <= 8'd0;

end

else if(Move_cnt == 8'd95) Move_cnt <= 8'd0;

else Move_cnt <= Move_cnt + 8'd1;

end

endmodule

16

LED点阵显示屏毕业设计文献综述

XXXXXXXX大学专业文献综述

LED点阵电子显示屏系统的设计 作者:xxx 指导老师:xxx 摘要:本设计拟采用一种由单片机控制的8*8点阵发光模块组成的16*64发光点阵,探讨简单的汉字、英文字母和动态图象显示技术,以及实时的温度测量显示。该系统具有设计简单、字符清晰、可靠性高等特点。 关键词:LED;单片机;点阵; Design of LED Dot Matrix Electronic Display System Author: Song Jian-lei Instruct teacher: Li Xue-lian Abstract:The paper introduces a kind of 16*64 dot matrix consists of 8*8 dot matrix module, we discuss the display technology of Chinese character, English letter, dynamic image and real time temperature. It is simple in design, cheap in cost and high in reliability. Key words: LED;Single Chip Microcomputer;Dot Matrix; 引言 LED(Light Emitting Diode,LED)电子显示屏是随着计算机及相关的微电子、光电子技术的迅速发展而形成的一种新型信息显示媒体。LED点阵显示器作为一种新兴的显示器件,它是由多个独立的LED发光二极管封装而成。发光二极管LED具有体积小、抗冲击和抗震性能好、高可靠性、寿命长、工作电压低、功耗小及响应速度等优点[1]。由于电子显示屏具有显示内容信息量大,外形美观大方,操作使用方便灵活,用户可随时任意自行编辑修改显示内容,显示方式图文并茂等优点,因此被广泛应用于商场、学校、银行、邮局、机场、车站、码头、金融证券市场、文化中心、信息中心休息设施等公共场所[2]。 本文主要介绍一种用于值班室外等场合的公告牌的LED点阵电子显示屏。公告内容随时可以更新,能够实施显示温度和日期时间,并具有自动亮度调节功能。考虑到所需器件的已购买,本设计采用8*8的点阵发光管模块,组成16*64发光点阵,显示特定的中文、字符以及数字。硬件方面,该系统以增强型MCS-51单片机为控制器,采用RS—232通信标准,另外本系统还配备了先进的总线型数字温度传感器DS18B20,它只要一个端口就可以完成数据的读出和命令的写入,并且不需要对温度进行标定,具有操作简单、工作可靠的特点。软件方面,该系统利用KEIL C进行软件开发,并配合PROTEUS进行联合仿真。 1 点阵显示屏总体设计 设计思路:PC机从上位机软件发送指令和数据,通过串口RS232将数据传输到单片机,单片机对行驱动和列驱动发出控制命令,对LED点阵屏进行相应的控制。外部时钟电路可以用来读取显示的时间,外部存期器件用于存储LED点阵屏的输出数据,在进行控制操作时,单片机可直接从此读取数据,可脱机进行显示控制。通过按键不需经过PC机可对LED 点阵屏进行简单的人机交互。温度传感器将检测的温度数据传送到单片机,单片机对数据进行处理后对LED点阵屏发出控制指令,LED点阵屏显示相应的数据。 1.1 点阵显示屏显示方式的设计方案选择 点阵显示屏部分的设计首先要考虑它的显示方式,使用串行方式还是并行方式。我通

汉字点阵显示屏设计报告

广西交通职业技术学院信息工程系 作品设计报告书 课程名称电子电路设计与制作_____________ 题目16*16 汉字点阵显示屏 _________________ 班级___________ 电信2011-1班_____________ 学号007 032 ____________________ 姓名_________________ 范杰________________

任课老师_____________ 韦家正 _______________ 二O 一三年一月 目录 摘要 一、系统方案选择和论证 (2) 1.1设计要求 (2) 2.1系统基本方案 (2) 2.1.1.主控电路选择 (2) 2.1.2.点阵显示屏部分 (2) 2.1.3.显示屏控制部分 (3) 二、电路模块的设计与分析 (3) 2.1.系统程序的设计 (3) 2.2.单片机系统及外围电路 (4) 23 LED点阵显示 (6) 24.汉字扫描的原理 (7) 25.方案的实现 (7) 三、系统软件设计 (8) 四、系统测试与分析 (10) 4.1点阵显示屏的仿真与程序调试 (10) 4.2整机测试 (10) 4.3系统主程序............................... 错误!未定义书签。 4.4系统测试结果分析 (21) 五、设计制作总结 (21) 5.1 总结 (21) 5.2 致谢词 (22) 六、参考文献 (22)

附录一:系统主要元件清单 (14)

摘要 摘要 LED点阵显示屏作为一种新兴的显示器件,是由多个独立的高亮度的LED发光二极管封装而成。LED点阵显示屏可以显示数字或符号,通常用来显示时间、速度、系统状态等灵活的动态显示。文章给出了一种基于MCS-51/52单片机的 16X16点阵LED显示屏的设计方案,包括系统具体的硬件设计方案,软件流程图和汇编语言程序等方面内容。在负载范围内,只需通过简单的级联就可以对显示屏进行扩展,是一种成本低廉、亮 度高、工作电压低、功耗小、微型化、易与集成电路匹配、驱动简单、寿命长、耐冲击、性能稳定的图文显示方案。 Abstract LED dot matrix display, as a new display device, by a nu mber of in depe ndent high- bright ness LED light-emitt ing diode packages. LED dot matrix display can display nu mbers or symbols, usually used to display time, speed, system status, and a flexible dyn amic display. Pap er, a microcomputer-based MCS-51/52 16 16 dot matrix LED display desig n, in cludi ng the system specific hardware desig n, software flowcharts and assembly Ian guage programs and other aspects. Withi n the load range, by simply cascad ing Jiu expa nsion can right display Jin Xin g, is a low cost, high brightness, low voltage Gong Hao Xiao, miniaturization, Yi Yu IC match, Qu Dong simple, Shou Ming Ion g, impact resista nee, stable performa nee, graphics and display opti ons.

微机接口实验-16x16点阵显示

实验04·LED显示器 王梦硕 0930******* 实验目的: 在理解LED点阵工作原理的基础上,实践使用点阵显示字符。 实验原理: 1·点阵式显示器: 发光二级管排列成矩阵,由亮与暗来产生字符或图形。 每一样的阳极连在一起,每一列的阴极连在一起,如右图所 示。 点阵显示器每一列的阴极连在一起,对每一列而言相当 于一个共阴显示器。同时每一行的阳极连在一起,相当于七 段显示器的比划。可采用动态显示电路,以笔画锁存器控制 行信号,以位锁存器控制列信号。 2·74HC595 实验中使用两片8位输出锁存移位寄存器74HC595(三态输出、串入并出),将单片机I/O口发出的串行数据转换为并行数据LD_QA~LD_QP,作为16x16 LED点阵显示器的行线,使用另外两片8位74HC595作为16x16 LED点阵显示器的列线LD_1~LD_16。当行输出高电平、列输出低电平时,可以点亮点阵。74HC595的工作时序图和推荐的连接方法如下: 下图中: ?LD-QA~LD-QP:点阵行控制信号 ?LD-1~LD-16:点阵列控制信号 ?SER(14脚):串行数据输入端 ?-SCLR(10脚):低电平时将移位寄存器的 数据清零。通常将它接Vcc。 ?SCK(11脚):上升沿时将串行数据移入移 位寄存器。 ?RCK(12脚):上升沿时移位寄存器的数据 锁存入数据寄存器。 ?-G(13脚): 高电平时禁止输出(高阻态)。

实验内容: 在16×16LED点阵上分别用静态方式和滚屏方式显示自己的姓(行扫描)。 两个实验部分的电原理图是相同的,如下所示:

1·静态方式: 流程图: 程序代码: L_DAT_H BIT P1.0 L_DAT_L BIT P1.1 L_STR BIT P1.2 L_CLK BIT P1.3 L_OE BIT P1.4 ROWH EQU 40H ;字模信号(顺向取膜,高位在前)ROWL EQU 41H SELH EQU 42H ;行扫描信号

基于FPGA的LED16×16点阵汉字显示设计(VHDL版)

毕业设计(论文)开题报告 设计(论文)题目基于FPGA的LED 16×16点阵汉字显示设计 一、选题的背景和意义: LED点阵显示屏是集微电子技术、计算机技术、信息处理技术于一体的大型显示屏系统。它以其色彩鲜艳,动态范围广,亮度高,寿命长,工作稳定可靠等优点而成为众多显示媒体以及户外作业显示的理想选择。受到体育场馆用LED显示屏需求快速增长的带动,近年来,中国LED 显示屏应用逐步增多。目前,LED已经广泛应用在银行、火车站、广告、体育场馆之中。而随着奥运会、世博会的临近,LED显示屏将广泛的应用在体育场馆以及道路交通指示中,LED显示屏在体育广场中的应用将出现快速增长。 因此,本设计是很有必要的,之所以基于FPGA设计是因为现场可编程门阵列(FPGA)设计周期小,灵活度高,适合用于小批量系统,提高系统的可靠性和集成度。并且采用编写灵活的VHDL 语言编写主程序。本设计可以方便的应用到各类广告宣传中。 二、课题研究的主要内容: 1. 实现16×16点阵的汉字显示; 2. 实现有限汉字显示; 4. 实现汉字的滚动显示; 5. 完成方案论证。 三、主要研究(设计)方法论述: 通过去图书馆查阅书籍收集资料,同时在搜索引擎上检索资料,分析借鉴已有类似产品、设计方案与成功经验,选择几种可行方案比对,最后确定最切实可行的方案展开设计。 通过Multisim或Quartus软件对系统进行模拟仿真,对电路功能进行改进与完善。 在EDA试验箱上进行调试。 四、设计(论文)进度安排:

时间(迄止日期)工作内容 2010.5.17-5.23 理解并确认毕业设计任务书,撰写完成毕业设计开题报告(第1周) 2010.5.24-5.30 完成调研与资料收集、整理 (第2周) 2010.5.31-6.6 设计方案及原理框图确定 (第3周) 2010.6.7-7.4 电路资料收集,单元电路设计 (第4、5、6、7周) 2010.7.5-7.18 电路仿真与改进、完善 (第8、9周) 2010.19-8.1 资料整理 (第10、11周) 2010..8.2-8.8 书写毕业设计报告 (第12周) 2010.8.9-8.16 (第13周)修改毕业设计报告并整理装订 五、指导教师意见: 指导教师签名:年月日六、系部意见: 系主任签名:年月日 目录

大学本科毕业设计_点阵LED汉字显示系统的设计(硬件部分)

点阵LED汉字显示系统的设计(硬件部分) 摘要 LED电子显示屏由于具有所显内容信息量大,外形美观大方,操作方便灵活,制作简单,安装方便,是近年来得到广泛应用的重要信息设备,被广泛应用于各种公共场合,如汽车报站器、广告屏以及公告牌等。本文介绍了一款以单片机AT89S51为控制器的LED点阵显示屏系统的设计。考虑到所需元器件的易购性,本设计使用了8×8的点阵发光管模块,组成16×16发光点阵,显示待定的中文、字符以及数字。由显示驱动模块驱动一个16×16分辨率的LED点阵显示屏的扫描显示。所选用的AT89S51单片机具有价格低廉程序写入方便的特点使得整个系统方便维护和检修。除此之外,该系统只占用了单片机少量的I /O口和内存,为系统留下了功能扩展的空间。关键词LED点阵;16X16点阵;单片机;显示屏;扫描

Abstract Because the LED electron display monitor has the institute content information content to be obviously big, the contour elegant appearance, the ease of operation is flexible, the manufacture is simple, the easy installation, was the recent years obtains the widespread application important information equipment, is widely applied in each kind of public area, like the automobile newspaper stood, the advertisement screen as well as the notice board and so on. This article introduced one section take monolithic integrated circuit AT89S51 as controller's LED lattice display monitor system's design. Considered needs primary device's Yi Gou, this design has used 8×8 lattice photo tube module, composition 16×16 illumination lattice, demonstration undetermined Chinese, character as well as digit. Actuates a 16×16 resolution by the demonstration actuation module the LED lattice display monitor's scanning demonstration. Selects at89S51 monolithic integrated circuit has the low in price procedure to read in the convenience the characteristic to cause the overall system convenience maintenance and the overhaul. In addition, this system has only taken the monolithic integrated circuit few I /O mouth and the memory, has left behind the space which for the system the function expands. Keywords LED dot-matrix; 16X16 dot matrix; singlechip; display; scan

单片机课程设计---16×16点阵式汉字显示

目录 摘要 (1) Abstract (2) 1设计原理 (3) 1.1 MCS-51单片机的结构及编程方法 (3) 1.2 16*16点阵LED原理 (5) 1.3 3-8译码器原理 (6) 2.设计方案介绍 (7) 2.1 设计总体思路 (7) 2.2 与题目相关的具体设计 (7) 2.3程序设计流程图 (8) 3.源程序,原理图和仿真图 (9) 3.1程序清单(见附录) (9) 3.2电路图 (9) 3.2.1电路原理图 (9) 3.2.2电路图分析 (9) 3.3仿真图 (9) 4性能分析 (10) 5.总结和心得 (11) 6.参考文献 (12) 附录:程序代码 (13)

摘要 LED点阵显示屏作为一种新兴的显示器件,是由多个独立的LED发光二极管封装而成. LED点阵显示屏可以显示数字或符号, 通常用来显示时间、速度、系统状态等。文章给出了一种基于MCS-51单片机的16×16 点阵LED显示屏的设计方案。包括系统具体的硬件设计方案,软件流程图和部分汇编语言程序等方面。在负载范围内, 只需通过简单的级联就可以对显示屏进行扩展,是一种成本低廉的图文显示方案。 关键词:MCS-51;LED;单片机

Abstract As a popular display device component, LED dot-matrix display board consists of several independent LED (Light Emitting Diode). The LED dot-matrix display board can display the number or sign, and it is usually used to show time, speed, the state of system etc. This paper introduces a kind of simple 16x16 LED display screen design process based on MCS-51 single chip minicomputer . The detail hardware scheme, software flow and assemble language programmer design and so on is followed. The display part can be cascaded to meet the need. The practice proves the design is low-cost and effective. Key words: MCS-51;LED;MCU

EDA 16x16点阵显示

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级 学号 姓名 指导教师乔汇东胡瑛 2012年7月2日

湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1001班 学生姓名 学号 指导老师乔汇东胡瑛 审批乔汇东 任务书下达日期2012 年6月23日 任务完成日期2012 年7月2日

《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第二十周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附: 课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

16 16点阵LED循环显示汉字汇编语言设计

LED16X16点阵显示 课程设计报告 学院信息工程学院 专业通信工程 班级0801 学生姓名 指导老师 二0一0年十二月 一、设计目的 本次课程设计目的剖析试验箱,利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。 二、设计内容 利用598H试验系统扩展接口CZ7座,在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字,并要求通过protues仿真软件画出电路图,运行程序。 三、硬件电路设计 整个电路由8088CPU,两片8255,1个74ls373,1个74LS138,1个16×16的LED,5个7407。该电路可静态显示1个16*16位的汉字,也可循环显示。 1、8255 Intel8255A是一种通用的可编程序并行I/O接口芯片,又称“可编程外设接口芯片”,是为Intel8080/8085系列微处理据设计的,也可用于其它系列的微机系统。可由程序来改变其功能,通用性强、使用灵活。通过8255A,CPU可直接同外设相连接,是应用最广的并行I/O接口芯片。其中含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力。可通过编程设置各端口的工作方式和数据传送方向(入/出/双向)。 2、138译码器 译码器是组合逻辑电路的一个重要的器件,74LS138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门,74LS138与前面不同,其有使能端,故

使能端必须加以处理,否则无法实现需要的逻辑功能。发光二极管点亮只须使其正向导通即可,根据LED的公共极是阳极还是阴极分为两类译码器,即针对共阳极的低电平有效的译码器;针对共阴极LED的高电平输出有效的译码器。 3、373锁存器 74LS373是低功耗肖特基TTL8D锁存器,内有8个相同的D型(三态同相)锁存器,由两个控制端(11脚G或EN;1脚OUT、CONT、OE)控制。当OE接地时,若G为高电平,74LS373接收由PPU输出的地址信号;如果G为低电平,则将地址信号锁存。工作原理:74LS373的输出端O0—O7可直接与总线相连。当三态允许控制端OE为低电平时,O0—O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0—O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。 4、LED动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视觉暂留特性。将连续的几帧画面高速的循环显示,只要帧速率高于24帧/秒,人眼看起来就是一个完整的,相对静止的画面。最典型的例子就是电影放映机。在电子领域中,因为这种动态扫描显示方式极大的缩减了发光单元的信号线数量,因此在LED显示技术中被广泛使用。 以8×8点阵模块为例,说明一下其使用方法及控制过程。图2.1中,红色水平线Y0、Y1……Y7叫做行线,接内部发光二极管的阳极,每一行8个LED的阳极都接在本行的行线上。相邻两行线间绝缘。同样,蓝色竖直线X0、X1……X7叫做列线,接内部每列8个LED的阴极,相邻两列线间绝缘。 在这种形式的LED点阵模块中,若在某行线上施加高电平(用“1”表示),在某列线上施加低电平(用“0”表示)。则行线和列线的交叉点处的LED就会有电流流过而发光。比如,Y7为1,X0为0,则右下角的LED点亮。再如Y0为1,X0到X7均为0,则最上面一行8个LED 全点亮。 现描述一下用动态扫描显示的方式,显示字符“B”的过程。其过程如图3.1 图3.1用动态扫描显示字符“B”的过程 Proteus中只有5×7和8×8等LED点阵,并没有16×16LED点阵,而在实际应用中,要良好地显示一个汉字,则至少需要16×16点阵。下面我们就首先介绍使用8×8点阵构建16×16点阵的方法,并构建一块16×16LED点阵,用于本次设计。

16X16点阵LED显示

毕业设计说明书 课题名称: 16乘16点阵显示电路的电路原理图及pcb绘制 学生姓名 专业 班级 时间 指导教师

姓名 设计题目16乘16点阵显示电路的原理图及pcb 绘制 指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3.对原理图里面的原件进行封装 4.创建链接表 5.导入到PCB里面,并排列连接 6.制造PCB版 7.

姓名 设计题目16乘16点阵显示电路的原理图及pcb绘制指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器 74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3对原理图里面的原件进行封装 4创建链接表 5导入到PCB里面,并排列连接 6制造PCB版

16-16点阵LED显示汉字汇编语言

LED16X16点阵显示课程设计报告 学院 专业 班级 学生 指导老师

一、设计目的 本次课程设计目的剖析试验箱,利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。 二、设计容 利用598H试验系统扩展接口CZ7座,在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字,并要求通过protues仿真软件画出电路图,运行程序。 三、硬件电路设计 整个电路由8088CPU,两片8255,1个74ls373,1个74LS138,1个16×16的LED,5个7407。该电路可静态显示1个16*16位的汉字,也可循环显示。 1、8255 Intel8255A是一种通用的可编程序并行I/O接口芯片,又称“可编程外设接口芯片”,是为Intel8080/8085系列微处理据设计的,也可用于其它系列的微机系统。可由程序来改变其功能,通用性强、使用灵活。通过8255A,CPU可直接同外设相连接,是应用最广的并行I/O接口芯片。其中含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力。可通过编程设置各端口的工作方式和数据传送方向(入/出/双向)。 2、138译码器 译码器是组合逻辑电路的一个重要的器件,74LS138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门,74LS138与前面不同,其有使能端,故使能端必须加以处理,否则无法实现需要的逻辑功能。发光二极管点亮只须使其正向导通即可,根据LED的公共极是阳极还是阴极分为两类译码器,即针对共阳极的低电平有效的译码器;针对共阴极LED的高电平输出有效的译码器。 3、373锁存器 74LS373是低功耗肖特基TTL8D锁存器,有8个相同的D型(三态同相)锁存器,由两个控制端(11脚G或EN;1脚OUT、CONT、OE)控制。当OE接地时,若G为高电平,74LS373接收由PPU输出的地址信号;如果G为低电平,则将地址信号锁存。工作原理:74LS373的输出端O0—O7可直接与总线相连。当三态允许控制端OE为低电平时,O0—O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0—O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。 4、LED 动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视

基于51单片机的汉字点阵显示设计

湖南科技大学测控技术与仪器专业
单 片 机 课 程 设 计
题 姓 学 名 号

指导教师 成 绩 ____________________
湖南科技大学机电工程学院 二〇一五年十二月制

湖南科技大学课程设计
摘要
LED 显示屏在我们的周围随处可见,它的应用已经普及到社会中的方方面面。作为 一种新型的显示器件,在许多场合都可以见到它的身影,不仅是它的应用使呈现出来的 东西更加美观,更重要的是它的应用方便,成本很低,除了能给人视觉上的冲击外,更 能给人一种美的享受。LED 显示屏是由多个发光二极管按矩阵形式排列封装而成,通常 用来显示时间、图文等各种信息。本设计是基于 ATS52 单片机的 16*16 点阵式显示屏, 该 LED 显示屏能实现 16*16 个汉字,简单的显示图像, 然后一直循环着显示下去。该设 计包含了硬件、软件、调试等方案,只需简单的级联就能实现显示屏的拓展,但要注意 不要超过负载能力。本次设计的作品体积小、功能多、方便实用、花费小,电路具有结 构简单、操作方便、精度高、应用广泛的特点。 关键词: LED,ATS51 单片机,显示屏
-2-

湖南科技大学课程设计
目录
摘要…………………………………………………………………………i 第一章 系统功能要求 ……………………………………………………1 1.1 系统设计要求 ……………………………………………………1 第二章 方案论证 …………………………………………………………1 2.1 方案论证 …………………………………………………………1 第三章 系统硬件电路设计 ………………………………………………1 3.1 AT89S51 芯片的介绍 ………………………………………………1 3.1.1 系统单片机选型…………………………………………………1 3.1.2 AT89S51 引脚功能介绍 …………………………………………2 3.2 LED 点阵介绍………………………………………………………2 3.2.1LED 点阵……………………………………………………………2 3.3 系统各硬件电路介绍 ………………………………………………3
3.3.1 系统电源电路设计介绍……………………………………………3 3.3.2 复位电路……………………………………………………………4 3.3.3 晶振电路……………………………………………………………4 3.4 系统的总的原理图……………………………………………………5 第四章 系统程序设计 ………………………………………………………5 4.1 基于 PROTEUS 的电路仿真……………………………………………5 4.2 用 PROTEUS 绘制原理 ………………………………………………6
4.3PROTEUS 对单片机内核的仿真 ………………………………………6
-3-

16×16点阵LED显示汉字

以下程序在16×16点阵LED上依次显示“梅川酷子”四个字,分别用正向显示和反向显示,间隔两秒钟变换一次,电路图和效果图下图所示。 AT89c52晶振频率为24MHz,用T0定时,改变变量flag值,从而让程序确定显示哪个汉字和显示方式(正向or反向)。 #include 或者#include #define int8 unsigned char #define int16 unsigned int #define int32 unsigned long int8 flag; /* flag变量 MSB 7 6 5 4 3 2 1 0 LSB × ×× Bit5=1,Bit4=0 时,负向显示 Bit5=0,Bit4=1 时,负向显示 Bit[2..0]74HC138的片选信号 */ int8 n; int8 code table[][32]={ {0x88,0x00,0x88,0x00,0x88,0x7F,0x48,0x00,0xDF,0x1F,0xA8,0x10,0x9C,0x1 2,0xAC,0x14,0xEA,0x7F,0x8A,0x12,0x89,0x14,0x88,0x10,0x88,0x7F,0x08,0x 10,0x08,0x14,0x08,0x08},/*"梅",0*/ {0x08,0x20,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x2 1,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x08,0x21,0x04,0x 21,0x04,0x20,0x02,0x00},/*"川",1*/ {0x00,0x08,0xFE,0x08,0x28,0x0A,0x28,0x7E,0xFE,0x0A,0xAA,0x09,0xAA,0xF F,0xEA,0x00,0x86,0x00,0x82,0x7E,0xFE,0x42,0x82,0x42,0x82,0x42,0xFE,0x 7E,0x82,0x42,0x00,0x00},/*"酷",2*/ {0x00,0x00,0xF8,0x1F,0x00,0x08,0x00,0x04,0x00,0x02,0x00,0x01,0x00,0x0 1,0x00,0x41,0xFE,0xFF,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x 01,0x40,0x01,0x80,0x00}/*"子",3*/ }; void delay(void); void main(void){ int8 i; int8 j; int8 index;

LED点阵显示屏的设计 毕业设计论文

LED点阵显示屏的设计 系别信息工程系 专业自动化/测控技术与仪器班级 学号 姓名 指导教师 负责教师 2013年5月

摘要 本设计采用AT89S52单片机作为主控制器,采用LED专用驱动芯片4953和译码器来驱动大小为128×32的LED点阵显示屏。扩展AT29C系列并行FLASH存储器用于存储字符数据和控制信息。扩展外部并行静态RAM,以弥补51单片机内部RAM的不足,协助单片机实现各种滚动显示效果。主控单片机与通信单片机相互配合,完成显示信息和控制参数的更新以及时间和温度信息的实时采集。 系统中的LED显示屏可以同时显示上下两行共16个16×16点阵汉字或一行共4个32×32点阵汉字,并可以实时显示当前的时间和温度,通过上位机软件还可以修改显示内容和显示效果。扩展的并行FLASH存储器,使本LED显示系统能够掉电存储2000个字符。因为每个LED显示单元是相互独立的,并且显示的字符数据是采用串行的传输方式,因此,系统的可扩展性得到了大大的提升,多个显示单元的级联也十分方便。LED点阵显示屏产品不仅可以用于室内环境,还可用于复杂、恶劣的室外环境,具有很强的现实应用性。 关键词:LED点阵;单片机;并行存储;动态扫描

Abstract This design uses AT89S52 MCU as the main controller,uses the special driving chip 4953 and the decoder chip to drive the 128×32 LED dot matrix display monitor.Series of AT29C parallel FLASH memory expansion used to store character data and control information.Expanded external parallel static RAM in order to compensate the shortage of RAM inside the 51-series MCU, the external RAM assisted the Main Control MCU to accomplish each kind of trundle demonstration effect. The coordination between the Main Control MCU and The Communication MCU, update the character data and the control information as well as the time data and the temperature information real-time gathering. This LED display system can display two lines of 16 16×16 lattice Chinese characters or one line of 4 32×32 lattice Chinese characters,the current time and temperature can be displayed by this system,too. The display contents and the effects can be changed through the upper-computer software. Extended parallel FLASH memory, so that the LED display system can save 2000 characters when the power is off. Each LED display unit is independent of each other,and uses the serial transmission mode to display the character data, therefore the extensibility of this system had greatly ascend, multiple LED display units of cascade is very convenient.LED dot matrix display products not only can be used for indoor environment, but also for complex, harsh outdoor environments, it has a strong practical applicability. Keywords: LED dot matrix;Microprocessor; Parallel store; Dynamic scanning

8×8LED点阵显示汉字课程设计

目录 第1章本设计的研究背景及目的要 求 0 1.1凌阳单片 机 0 1.2 LED(8×8)点阵模块简 介 (1) 第2章设计方案和基本原 理 (3) 2.1设计方 案 (3) 2.2 基本原 理 (3) 1. 8×8LED点阵的工作原 理 (3) 第3章程序设 计 (6) 3.1程序流程 图 (6) 3.2 程序代 码 (6) 第4章调试结果及分 析 (8) 4.1调试结 果 (8) 4.2结果分 析 (9) 第5章结论与体 会 (10) 参考文 献 .................................................................. 11 附 录 .................................................................. . (12) 第1章本设计的研究背景及目的要求

1.1凌阳单片机 (1)来源 随着单片机功能集成化的发展,其应用领域也逐渐地由传统的控制,扩展为控制处理数据处理以及数字信号处理,DSP(Digital Signal Processing)等领域。凌阳的16位单片机就是为适应这种发展而设计的。 (2)构造 它的CPU内核采用凌阳最新推出的Microcontroller and Signal Processor 16 位微机处理器芯片,以下简称μ'nSP?。围绕μ'nSP?所形成的16位μ'nSP?系 列单片机,以下简称μ'nSP? 家族。采用的是模块式集成结构,它以μ'nSP?内核为中心集成不同规模的ROM PAM和功能丰富的各种外设部件。μ'nSP?内核 是一个通用的和结构。除此之外的其它功能模块均为可选结构。以及这种结构可大可小可有可无,借助这种通用结构附加可选结构的积木式的构成,便可成为各种系列的派生产品,以适合不同场合,这样做无疑会使每种派生产品具有更强的功能和更低的成本。μ'nSP?家族有有以下特点:体积小,集成度高,可靠性 好易于扩展。μ'nSP? 家族把各功能把各功能部件模块化地集成在一个芯片里。内部采用总线结构,因为减少了各功能部件之间的连接,提高了其可靠性和抗干扰能力,另外,模块化的结构易于系列的扩展,以适应不同用户的需求。具有较强的中断处理能力。μ'nSP?家族的中断系统支持10个中断向量及10余个中断源,适合实时应用领域。高性能价格比:μ'nSP?家族片内带有高寻址能力的ROM,静态RAM和多功能的I/O口,另外μ'nSP?的指令系统提供出具有较高运算速度的16位,16位的乘法运算指令和内积运算指令,为其应用添加了DSP功能,使得μ'nSP?家族运用在复杂的数字信号处理方面既很便利又比专用的DSP芯片廉价。 优点: 功能强、效率高的指令系统:μ'nSP?的指令系统的指令格式紧凑,执行迅速,并且其指令结构提供了对高级语言的支持,这可以大大缩短产品的开发时间。低功耗、低电压:μ'nSP?家族采用CMOS制造工艺,同时增加了软件激发的弱振方式,空闲方式和掉电方式,极大地降低了其功耗,另外,μ'nSP?家族的工 作电压范围大,能在低电压供电时正常工作,且能用电池供电,这对于其在野外作业等领域中的应用具有特殊的意义。 (3)应用领域 凌阳单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域,大致可分如下几个范畴: 1.在智能仪器仪表上的应用 单片机具有体积小、功耗低、控制功能强、扩展灵活、微型化和使用方便等优点,广泛应用于仪器仪表中,结合不同类型的传感器,可实现诸如电压、功率、频率、湿度、温度、流量、速度、厚度、角度、长度、硬度、元素、压力等物理量的测量。采用单片机控例且功能比起采用电子或数字电路更加强大。智能化、微型化,制使得仪器仪表数字化、. 。如精密的测量设备(功率计,示波器,各种分析仪)在工业控制中的应用2. 例如工厂流水线的智能化管数据采集系统。用单片机可以构成形式多样的控制系统、

相关文档
最新文档