交通信号灯课程设计报告

交通信号灯课程设计报告
交通信号灯课程设计报告

交通信号灯课程设计报告

交通信号灯自动控制系统

*******目录*******

一、设计内容

二、设计要求

三、交通信号灯模拟控制系统设计程序流程图

四、交通信号灯模拟控制系统原理图

五、交通信号灯模拟控制系统主程序

六、运行步骤

七、检测与调试

八、课程设计体会

九、参考文献

十、说明

一、设计内容:

设计并制作一个如上图所示的十字路口交通信号自动控制模拟指示系统。设该路口由A、B两条通行干道相交而成,四个路口各设一组红、黄、绿三色信号灯,用两位数码管作倒计时显示。

二、设计要求:

1.系统基本功能要求

(1)以秒为计时单位,两位数码管以十进制递减计数形式作定时显示,在递减计数回零瞬间完成换灯操作。

(2)通过键盘红、黄、绿三色信号灯所亮时间在0~99秒内任意设定。

(3)十字路口的通行起始状态可人工设定,运行中可通过人工干预使十字路口通行状况固定于任何一种工作模式。

2.发挥部分

(1)具有时间控制功能,交通信号灯工作时间:05:00~23:00;其余时间两个干道上的黄色信号灯闪烁显示;

(2)绿色信号灯倒计时最后3秒和黄色信号灯显示时闪烁显示。(闪烁频率:1Hz);

(3)其它功能。

三、交通信号灯模拟控制系统设计程序流程图

1、主程序流程图:

开始

东西和南北黄灯亮,进入倒

计时,打开数码管显示

东西红灯亮,南北绿灯亮,进入倒

计时,打开数码管显示

图5 2、中断服务程序流程图:

按键按下按键按下

转去中断服务子程序转去中断服务子程序

设置个位计数值设置十位计数值中断返回中断返回

确定按键按下

转去中断服务子程序

分别进行三组灯的组合

状态的时间倒计数并进

入此三种状态的一下死

循环

中断返回

交通信号灯课程设计

《电工与电子技术基础》课程设计报告 题目简易交通信号灯控制器 学院(部) 班级 姓名 学号 指导老师(签字)

简易交通信号灯控制器 一.课题名称:简易交通信号灯控制器 技术要求:1.定周控制:主干道绿灯45秒,支干道绿灯25秒; 2.每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡; 3.分别用红、黄、绿色发光二极管表示信号灯。 *4.设计计时显示电路 二.摘要 随着经济的发展和人民生活水平的提高,交通运输业在人们的生活中扮演着越来越重要的角色。而交通信号灯的出现很好地规范了人们的出行秩序,提高了人们的出行效率,大大减少了交通事故的发生。目前的交通信号灯电路大多分为主干道电路和支干道电路,通过适当的控制电路分别对主干道和支干道进行控制,达到合理的亮灭规律,从而很好的规范人们的出行秩序。 本次课程设计当中,我组采用数字电路对交通灯控制系统进行设计,并对提出的三个方案进行论证,最终确定方案进行设计,并使其实现主干道绿灯亮45秒、支干道绿灯亮25秒、并且在由绿灯变为红灯时有5秒时间作为过渡的技术要求,实现简易交通信号灯的功能。 三.总体设计方案论证及选择 针对本次课程设计,我们提出了以下三种方案: 方案一:用多个不同步的信号分别控制各信号灯的开关,即分别用持续45S、5S、25S、5S的倒时计数器来控制各信号灯。 方案二:交通信号灯的状态可以分为四种,且四种状态的周期和为T=t1+t2+t3+t4=45+5+25+5=80S,所以信号灯的每个循环周期为80S,因此,可以利用两个74LS290型十进制计数器组成一个八十进制的计数器控制电路,同时用555定时器产生周期为1S的时钟脉冲,使计数器的周期为80*1S=80S。 电源接通时,计数器清零,此时主干道绿灯和支干道红灯点亮,其余灯关灭;此后,经过组合逻辑电路使得当计数器的45个脉冲(45S)、50个脉冲(50S)、75个脉冲(75S)和80个脉冲(80S)来到时,分别控制信号灯状态改变,达到预计要求。 方案三:选择74LS161 型一位十六进制计数器,其共有十六个状态。用555定时器产生周期为5S的时钟脉冲,所以对应计数器循环周期为16*5S=80S,并对应信号灯的80S工作循环。然后将计数器的四个输出信号用译码器译出六个输出信号,分别控制六个信号灯。 当接通电源后,计数器清零,此时主干道绿灯和支干道红灯置1点亮,其他灯置0关灭;当第9个脉冲(45S)来到时,主干道黄灯和支干道红灯置1点亮,其他灯置0关灭;当第10个脉冲(50S)来到时,主干道红灯和支干道绿灯置1点亮,其他灯置0关灭;当第15个脉冲(75S)来到时,主干道红灯和支干道黄灯置1点亮,其他灯置0关灭;当第16个脉冲(80S)来到时,主干道绿灯和支干道红灯置1点亮,其他灯置0关灭,即交通信号灯的状态进入了下一个循环。

交通灯单片机课程设计

1 序言 交通灯的形成 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两色旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。我们设计的单片机控制交通灯就是基于信号灯。 技术指示 设计一个十字路口(方向为东西南北四个方向)的交通灯控制电路,每条道路上各配有一组红、黄、绿交通信号灯,其中红灯亮,表示该道路禁止通行;黄灯亮表示

通过Verilog实现交通灯设计实验报告

电子科技大学 实 验 报 告 一、实验室名称:虚拟仪器实验室 二、实验项目名称:交通灯设计实验 三、实验学时:4学时 四、实验原理

假设交通灯处于南北和东西两条大街的“十”字路口,如图1所示。用FPGA 开发板的LED 灯来模拟红、黄、绿3种颜色信号,并按一定顺序、时延来点亮LED ,如图2所示。图3给出了交通灯的状态转移图。设计使用频率为1Hz 的时钟来驱动电路(注1:仿真时采用1MHz 的时钟来驱动电路),则停留1个时钟可得到1S 的延时,类似停留3个时钟可得到3S 的延时,停留15个时钟可得到15S 的延时(注2:开发板工作时钟为50MHz )。 北 南 西东 图1. 六个彩色LED 可以表示一组交通信号灯 图2. 交通灯状态 南北 东西 红 黄 绿 红 黄 绿 S0 1 0 0 0 0 1 S1 1 0 0 0 1 0 S2 1 0 0 1 0 0 S3 0 0 1 1 0 0 S4 0 1 0 1 0 0 S5 1 0 0 1 0 0

图3. 交通灯的状态转移图 顶层模块 时钟分频模块状态机跳转模块 图4. 交通灯的原理框图 五、实验目的 本实验是有限状态机的典型综合实验,掌握如何使用状态转移图来定义Mealy状态机和Moore状态机,熟悉利用HDL代码输入方式进行电路的设计和仿真的流程,掌握Verilog语言的基本语法。并通过一个交通灯的设计掌握利用EDA软件(Xilinx ISE 13.2)进行HDL代码输入方式的电子线路设计与仿真的详细流程。。 六、实验内容 在Xilinx ISE 13.2上完成交通灯设计,输入设计文件,生成二进制码流文件下载到FPGA开发板上进行验证。 七、实验器材(设备、元器件)

交通信号灯程序

《PLC组态与维护》课程测试答题册 系别:动力工程系 专业:工业热工控制技术 班级: 姓名: 学号: 任课教师: 完成时间:2014年5月26日 目录

一、测试题目 (3) 二、I/O分配表 (4) 三、硬件接线图 (4) 四、控制时序图 (5) 五、顺序功能图 (5) 六、控制梯形图 (6) 七、答题心得 (15) 八、参考文献 (15)

一、测试题目 北向 南向 东向 西向 红 红 红 红 黄 黄 黄 黄 绿 绿 绿 绿 上图所示为双干道交通信号灯设置示意图。信号灯的动作受开关总体控制,按一下起动按钮,信号灯系统开始工作,并周而复始地循环动作;按一下停止按钮,所有信号灯都熄灭。 信号灯控制的具体要求见下表。 试编写信号灯控制程序,具体要求如下: 1.理清输入输出信号的关系和状态。 2.列出I/O 分配表。 3.绘制PLC 硬件接线图、控制时序图和顺序功能图。 4.设计控制梯形图程序

二、I/O 分配表 东西方向红灯 Q 4.3 BOOL 东西方向黄灯 Q 4.5 BOOL 东西方向绿灯 Q 4.4 BOOL 南北方向红灯 Q 4.0 BOOL 南北方向黄灯 Q 4.2 BOOL 南北方向绿灯 Q 4.1 BOOL 启动按钮 I 0.1 BOOL 停止按钮 I 0.2 BOOL 三、硬件接线图 DC24V +-HL1 HL2 HL3 HL4 HL5SB1 SB2 HL6 DC24V - + M 1M I0.0 I0.1 1L Q4.0 Q4.1 Q4.2 Q4.3 Q4.4Q4.5

四、控制时序图 红灯绿灯黄灯红灯绿灯 黄灯东西 南 北时间 45s T0 3S T12S T2 25S T3 3S T42S T5 五、顺序功能图 M0.0 M0.1M0.2 M0.3 M0.4 M0.5 M0.6 T0Q4.3Q4.1T0 M5.1T1 T1 Q4.2T2 T2 Q4.0Q4.4T3 T3 M5.2T4 T4 Q4.4T5 T5

交通灯控制器课程设计实验报告

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院 专业年级: 2011级通信工程一班 姓名: 谢仙 学号: 指导教师: 杨菊秋 2013年06月25日

目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 555定时器 (5) 七位二进制计数器4024 (6) 二进制可逆计数器74LS193 (8) 数码显示电路 (9) 结论 (10) 体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言

交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述

交通信号灯控制器课程设计

燕山大学 课程设计说明书题目:交通信号灯控制器 学院(系):电气工程学院 年级专业: 11级检测一班 学号: 学生姓名: 指导教师: 教师职称:

燕山大学课程设计(论文)任务书 院(系):电气工程学院基层教学单位:仪器科学与工程系 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 年月日

摘要 日常交通对于人们的生活有极大的影响,因此对交通的控制也有很高的要求。交通信号灯已不仅仅被看成一种用来指挥交通的工具,在很多实际应用中它还需要能够实现更多其它功能。高精度、多功能、小体积、低功耗,是现代交通信号灯发展的趋势。本课题设计正是基于这个方向设计一个符合指标要求的模拟交通信号灯控制器。 单片机特别是51系列的单片机,由于其使用方便、价格低廉等优势,在电子产品中的应用越来越广泛,在市场上占有很大的份额。AT89C51就是51系列中的一个比较成熟的型号,它完全兼容51单片机的指令。 本课题设计是基于单片机技术原理,以单片机芯片AT89S51作为核心控制器,通过硬件电路的制作以及软件程序的编制,设计出的一个模拟交通信号灯控制系统。该控制系统包括了。设计以硬件和软件相结合为指导思想,通过软件编程实现系统大部分功能,电路简单明了,系统稳定性高。主要硬件有:AT89C51单片机、八段数码管、LED和按键等。软件采用C语言编写实现,并依据程序应用Keil、Protues进行了调试,对出现的问题进行分析和反复修改,最终得到正确并符合设计要求的结果。 设计完成的模拟交通信号灯控制器能够准确指挥交通,控制精确、体积小、功耗低,具有很强的实用性。

目录 第一章、课题简介 ..............................错误!未定义书签。 1.1引言 (1) 1.2课设内容 (1) 第二章、AT89C51单片机简介 (2) 2.1单片机引脚介绍 (2) 2.2定时器介绍 (3) 2.3定时器初值的计算 (4) 第三章、硬件总体结构设计 (5) 3.1单片机最小系统 (5) 3.2LED灯显示原理 ............................... 错误!未定义书签。 3.3数码管显示原理 (7) 3.4芯片介绍..................................... 错误!未定义书签。 第四章、软件设计 (10) 4.1单片机程序开发流程 (10) 4.2程序流程图 (11) 4.仿真电路 (12) 4.5电路仿真结果 (14) 总结 (15) 参考文献 (16) 附录源程序 (17)

交通信号灯课程设计

交通信号灯控制系统的设计

摘要 摘要:交通信号灯是城市交通有序、安全、快速运行的重要保障,而保障交通信号灯正常工作就成了保障交通有序、安全、快速运行的关键。为此,设计交通灯控制电路,配以七段共阴极数码管显示时间的显示模块,交通灯显示模块,调时模块,实现根据实际车流量通过设置红、绿灯燃亮时间以及双位数码管显示倒计时,三种颜色灯交替点亮以及紧急情况下的中断处理功能。本系统性价比高,实用性强,操作简单,功能全面。 关键词:计数器;交通灯;控制电路 Abstract

Traffic lights in urban traffic in an orderly, safe and an important guarantee for fast running, and the protection of traffic lights to protect the normal work of the traffic has become

orderly, safe, fast running key. To this end, the use of single-chip device designed as the central traffic light control circuit, with a total of cathode seventh time the digital display module, the traffic light display module, transfer module, crystal oscillator circuit, reset circuit and chip set red, green and double-time to kindle a digital countdown display, The turn of the three colors of lights and emergency lighting in case of interruption of processing. The system cost-effective, practical, simple, full-featured. Key words: counter;traffic light;control circuit 目录 摘要--------------------------------------------------------------------1 ABSTRACT------------------------------------------------------------------2 第1章交通信号灯的设计目的以及要求----------------------------------4 第2章设计原理分析----------------------------------------------------6 第3章主控制器--------------------------------------------------------9

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

课程设计交通信号灯汇总

课程设计说明书(2012 /2013 学年第 2 学期) 课程名称: 题目:交通信号灯 专业班级:电气一班 学生姓名: 学号: 指导教师: 设计周数:两周 设计成绩: 2013年7 月3 日 1、课程设计目的 (3)

2、课程设计软件部分 (3) 2.1设计内容及要求 (3) 2.1.1课程设计内容 (3) 2.1.2课程设计要求 (4) 2.2系统分析 (4) 2.3系统设计 (4) 3、课程设计硬件部分 (5) 3.1方案设计 (5) 3.2单元电路设计 (5) 3.2.1秒脉冲发生器 (5) 3.2.2计数电路的设计 (6) 3.2.3控制电路的设计 (7) 3.2.4显示电路的设计 (7) 3.2.5数码管显示的设计 (8) 3.2.6设计总原理图 (9) 3.3系统调试 (10) 4、课程设计总结 (10) 5、参考文献 (11) 1、课程设计目的

在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 2、课程设计软件部分 2.1设计内容及要求 2.1.1课程设计内容 A满足顺序工作流程:南北绿灯亮、东西红灯亮,占20S,南北黄灯亮、东西红灯亮,占4S,南北红灯亮、东西绿灯亮,占20S,南北红灯亮、东西黄灯亮,占4S。 B他们的工作方式,有些必须是并行进行的。南北绿,东西红。 南北黄,东西红。南北红,东西绿。南北红,东西黄。 C十字路口要有数字显示,作为事件提示,一边人们直接的把握事件。 D可以手动调整和自动控制,夜间为黄灯闪耀。 E满足两个方向的工作时序:既东西方向亮红灯事件应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。 F倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机。 G信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求 2.1.2课程设计要求 A单电源5V供电 B南北、东西干道轮流通行由L E D显示,计时又数码管控制 C实现功能所用的器件的成本低,数量少为最佳

交通信号灯控制器实验报告

交通信号灯控制器 一、设计任务及要求 (2) 二、总体方案设计以及系统原理框图 (2) 2.1、设计思路 (2) 2.2、各模块相应的功能 (2) 2.3、系统原理图 (3) 三、单元电路设计 (3) 3.1、车辆检测电路 (3) 3.2、主控电路 (4) 3.3、灯控电路 (5) 3.4、计时控制电路 (6) 3.5、计时显示电路 (6) 3.6、反馈控制电路 (7) 3.7、置数电路 (7) 3.8、时基电路 (7) 四、工作原理 (8) 五、电路的软件仿真及结果分析 (8) 5.1、时基电路(555接成的多谐振荡器)的电路图以及波形的显示 (8) 5.2、结果分析 (10) 六、电路的组装调试 (10) 6.1、使用的主要仪器和仪表 (10) 6.2、调试电路的方法和技巧 (10) 6.3、调试中出现的问题、原因和排除方法 (11) 七、收获、存在的问题和进一步的改进意见 (11) 7.1、存在的问题和进一步的改进意见 (11) 7.2、收获以及心得体会 (12) 附录一:电路所用元器件 (14) 附录二:电路全图 (15) 附录三:实际电路图 (16)

一、设计任务及要求 在一个主干道和支干道汇交叉的十字路口,为了确保车辆行车安全,迅速通行,设计一个交通信号灯控制电路,要求如下: 1、用两组红、绿、黄发光二极管作信号灯,分别指示主道和支道的通行状 态。 2、通行状态自动交替转换,主道每次通行30秒,支道每次通行20秒,通 行交替间隔时为5秒。 3、通行状态转换依照“主道优先”的原则,即:当主道通行30秒后,若支 道无车则继续通行;当支道通行20秒后,只有当支道有车且主道无车时才允许继续通行。(用按键模拟路口是否有车) 4、设计计时显示电路,计时方式尽量采用倒计时。 二、总体方案设计以及系统原理框图 2.1、设计思路 本次设计采用模块划分的方法,每个模块完成一项功能,最后将各个模块连接起来,设计完成后,用Multisim进行仿真,仿真成功后,再去实验室焊接调试。 2.2、各模块相应的功能 (1)车辆检测电路:用来显示主路支路车辆的四种情况。 (2)主控电路:该电路为一个时序逻辑电路,根据车辆的情况实现灯的状态转换。 (3)灯控电路:用来控制灯的四种状态。 (4)计时控制电路:实现时间的倒计时。 (5)计时显示电路:显示时间。 (6)反馈控制电路:为灯的状态转换提供一个触发信号。 (7)置数电路:为每种情况设置应有的时间。 (8)时基电路:为计时控制电路提供触发信号。

交通信号灯控制器设计方案

课程设计任务书

设计(论文)说明书用纸N O.1 沈阳大学

沈阳大学

沈阳大学

图1 基本工作原理图 2.2设计电路采用的软件介绍 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年又增 沈阳大学

加了Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 2.3设计电路采用的方案 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。这次任务采用MSC-51系列单片机AT89C51来设计交通灯控制器,从而实现通过P2口设置红、绿灯亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯亮以警示作用。此设计方案实用性强、操作简单、扩展功能强。 2.4 AT89C51及部分端口介绍 如图2为AT89C51 。 图2 AT89C51 沈阳大学

交通信号灯_数字逻辑程序设计

石家庄经济学院 信息工程学院 数字逻辑课程设计报告 题目十字路口交通灯控制器姓名赵永超 学号409109070609 班号4091090706 指导老师 成绩 2010年6月 目录

1. 功能描述 (52) 2. 开发工具选择 (52) 3. 设计方案 (52) 4.模块描述 (52) 5. VHDL实现 (52) 6. 调试仿真 (52) 7. 课程设计回顾总结 (52) 参考文献 (52) 附录 (52)

1.功能描述 ar,ay,ag 和br,by,bg分别表示A和B道的红灯,黄灯,绿灯的驱动信号。信号灯在高电平时亮,低电平时熄灭。十字路口的交通灯控制电路功能: 十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。 2.开发工具选择 选择VHDL语言描述,利用Quartus II 5.1工具。 3. 设计方案 在设计方案之前,我们应明确课程设计的任务和要求:该数字系统完成对十字路口交通信号灯的控制,十字路口由一条南北方向的支干道(简称A道)和东西方向的主干道(简称B道)构成。A道安装有车辆检测传感器X,当该道有车时,传感器输出信号为高电平,当该道无车时,传感器输出低电平信号。 十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。 (1)、首先对问题进行仔细分析 设东西和南北方向的车流量大致相同,从题目中计数值与交通灯的亮灭的关系如下图所示:

数字电路课程设计交通灯

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级: 2011级<1>班 姓名:陈 学号: 201103061 成绩: 指导老师:李海霞 开课时间: 2012-2013 学年二学期

一、设计题目 交通信号灯控制器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (一)、交通灯信号控制器仿真设计 设计要求 (1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为35s。时间可 设置修改。 (2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 (3)黄灯亮时,要求每秒闪亮一次。 (4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 (5)假定+5V电源给定。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写

五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

plc红绿灯实验报告

竭诚为您提供优质文档/双击可除plc红绿灯实验报告 篇一:交通灯pLc控制实验报告 交通灯的pLc控制实验报告 学院:自动化学院班级:0811103姓名:张乃心学号:20XX213307 实验目的 1.熟悉pLc编程软件的使用和程序的调试方法。2.加深对pLc循环顺序扫描的工作过程的理解。3.掌握pLc的硬件接线方法。 4.通过pLc对红绿灯的变时控制,加深对pLc按时间控制功能的理解。5.熟悉掌握pLc的基本指令以及定时器指令的正确使用方法。 实验设备 1.含可编程序控制器microLogix1500系列pLc的Demo 实验箱一个 2.可编程序控制器的编程器一个(装有编程软件的pc 电脑)及编程电缆。3.导线若干

实验原理 交通指挥信号灯图 I/o端子分配如下表 注:pLc的24VDc端接Demo模块的24V+;pLc的com端接Demo模块的com。 系统硬件连线与控制要求 采用1764-L32Lsp型号的microLogix1500可编程控制器,进行 I/o端子的连线。它由220VAc供电,输入回路中要串入24V直流电源。1764系列可编程控制器的产品目录号的各位含义如下示。1764:产品系列的代号L:基本单元 24:32个I/o点(12个输入点,12个输出点)b:24V 直流输入w:继电器输出 A:100/240V交流供电 下图为可编程控制器控制交通信号灯的I/o端子的连线图。本实验中模拟交通信号灯的指示灯由24V直流电源供电。o/2-o/4为南北交通信号灯,o/5-o/7为东西交通信号灯。 实现交通指挥信号灯的控制,交通指挥信号灯的布置,控制要求如下:(1)信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始正常工作,且先南北红灯亮,东西绿灯亮。当启动开关断开时,所有信号灯熄灭。 (2)南北红灯维持25秒。在南北红灯亮的同时东西绿

交通信号灯控制器设计报告

课程论文 (2012小学期) 论文题目:交通信号灯控制器设计报告课程名称:电子技术课程设计 任课教师:冯磊 班级:电子102 学号:1008140105 姓名:高华宇

交通信号灯控制器设计报告 第一章任务要求 (1)设计内容:在主道,支道的路口,设计交通信号等控制器; (2)基本要求:主道通行30秒,支道通行20秒,通行间隔5秒,主道优先为原则;显示通行时间; (3)附加要求:紧急情况处理:制动检测路口是否有等候车辆; (4 ) 设计时显示电路,计时方式尽量采用倒计时; 第二章原理框图 各模块间关系如下图所示: 第三章电路设计 3.1 方案原则 ◆满足题目的技术指导和设计要求 ◆简单明了,思路清晰 ◆设计新颖 ◆成本低,体积小,器件少 3.2 方案设计 总体思路如下图所示:

第四章体统实现 4.1 车辆检测电路 由两个单刀双掷开关代替车辆检测电路4.2 主控电路 (1)状态选择 电路中灯的四种状态如下: 状态(Q1Q2)主干道支干道 00 绿红 01 黄红 10 红绿 11 红黄(2)状态转换图

(3)芯片选择 使用双JK触发器CD4027 JK触发器特性方程:Q*=JO’+K’Q 且J1=Q2 K1=Q2 得J2=X2Q’2+(X1+X’2)Q2 K2=1 4.3 灯控电路 (1)真值表 (2)逻辑表达式 G=Q1’Q2’Y=Q1’Q2 R=Q1 g=Q1Q’2 y=Q1Q2 r=Q’1 4.4 置数电路 (1)原理 四种主状态与置入计数器的数呈一一对应关系。结合反馈控制部分,我们发现,由于芯片的延时效应,当主控时钟上升沿到来,主状态发生变化时,置数信号已经消失。所以要用前一时刻的主状态给后一时刻置数。 (2)逻辑表达式 2D=2C=1D=1B=0 2B=Qz 2A=Q1Q2 1C=2A=Q’zJz 4.5 时基电路 (1)原理 该部分由555定时器接成的多谐震荡器提供时钟信号CLK 周期公式:T=(R·+2R2)C1In2 选C1=100uF R1=1k 根据周期公式 可取R2=6.8k (2)电路图如图所示:

交通灯PLC控制实验报告

交通灯的PLC控制实验报告 学院:自动化学院班级:0811103 姓名:张乃心学号:2011213307 实验目的 1.熟悉PLC编程软件的使用和程序的调试方法。 2.加深对PLC循环顺序扫描的工作过程的理解。 3.掌握PLC的硬件接线方法。 4.通过PLC对红绿灯的变时控制,加深对PLC按时间控制功能的理解。 5.熟悉掌握PLC的基本指令以及定时器指令的正确使用方法。 实验设备 1.含可编程序控制器MicroLogix1500系列PLC的DEMO实验箱一个 2.可编程序控制器的编程器一个(装有编程软件的PC电脑)及编程电缆。3.导线若干 实验原理 交通指挥信号灯图

I/O端子分配如下表 输入输出 启动按钮IN/0 东西红灯OUT/0 东西黄灯OUT/1 东西绿灯OUT/2 南北红灯OUT/3 南北黄灯OUT/4 南北绿灯OUT/5 注:PLC的24V DC端接DEMO模块的24V+ ; PLC的COM端接DEMO模块的COM 。 系统硬件连线与控制要求 采用1764-L32LSP型号的MicroLogix 1500可编程控制器,进行I/O端子的连线。它由220V AC供电,输入回路中要串入24V直流电源。1764系列可编程控制器的产品目录号的各位含义如下示。 1764:产品系列的代号 L:基本单元 24:32个I/O点(12个输入点,12个输出点) B:24V直流输入 W:继电器输出 A :100/240V交流供电 下图为可编程控制器控制交通信号灯的I/O端子的连线图。本实验中模拟交通信号灯的指示灯由24V直流电源供电。O/2-O/4为南北交通信号灯,O/5-O/7为东西交通信号灯。 DC COM I/0 V AC VDC V AC VDC O/2 O/3 O/4 O/6 O/5 O/7 红绿 黄红绿 黄 24V DC 24V DC 24V DC MicroLogix1500

电子技术课程设计交通信号灯控制电路的设计

电子技术课程设计交通信号灯控制电路的设计 WTD standardization office【WTD 5AB- WTDK 08- WTD 2C】

交通信号灯控制电路的设计 起止日期: 2013 年11月20日至 2013年11月 26日学生姓名风轻袖影翻 班级 学号 成绩 指导教师(签字) 电气与信息工程学院 2013年 11 月 25 日 一、设计题目:交通信号灯控制电路的设计 二、设计目的:熟练的使用protues软件独立设计出一个完整的交通灯数字电路,并通过调试、仿真的步骤进一步完善电路,最终使电路呈现出设计要求的效果 三、设计任务及要求: 道路分主干道和支干道,都有红、绿、黄交通信号灯来指挥交通,主干通车流量大,要求通车时间长,如绿灯通电持续时间为30秒,如红灯通电持续时间为20秒,,在绿灯变成红灯前,黄灯先亮5秒,即亮灯顺序如下: 红(20秒)绿(30秒)黄(5秒) 本课题要求设计主干道红、绿、黄的转换控制电路。红、黄、绿信号灯采用发光二极管代替,LED数码管显示时间。 四、设计步骤:

系统框图: 一、读懂课题,查芯片 我们需要的芯片有 74LS192,cd4017,74LS20 二、将电路模块化 模块一:计数电路 实现这个功能我们用集成十进制74LS192芯片,我们先设置好该芯片的初始值,然后选择减发计数,用接ground或接power来设置初始值,经过Q0-Q3发出的信号输入到数码管中显示相应数值。其中,因为UP段是加法计数,所以接高电平,DZ接输入脉冲。TCD端为溢出端,故低位段接高位74LS192的输入端。 模块二:传感器 当红绿黄三个灯其中一个灯计时完毕后,需要切换到下一个灯。我们需要用到74LS20芯片,但高位74LS192芯片计数完毕后,从借位输出端输出一个信号,送到74LS20里面,输出一个高电平。 模块三:主控制器 当74LS20里面的信号传输到主控制器,即CD4017后,输出一个高电平到置数端(计数端),进行切换,当下一个灯完毕后,重复上述步骤。 模块四:显示 此模块由7SEG-BCD和TRAFFIC LIGHTS组成,能更直观的显示亮灯的情况。 五、仿真与测量 电路连接图:

交通信号灯设计实验报告

华侨大学电子工程系 基于FPGA的交通信号灯 课程设计报告 设计课题:交通信号灯设计 姓名:潘申欣、崔冰、陈孔滨 专业:10级集成电路设计与集成系统 学号:1015251023、1015251005、1015251003日期:2013年4月14日—2013年4月26日指导教师:傅文渊

目录 摘要 一、设计的任务与要求 (4) 1、任务与要求 (4) 2、系统原理 (5) 3、创新部分 (5) 二、系统顶层原理图 (6) 三、各功能模块叙述 (6) 1、码转换模块A (6) 2、数据产生模块 (8) 3、数据存储模块 (10) 4、4选1多路选择器 (12) 5、时钟产生模块 (13) 6、总控制模块 (15) 7、码转换模块B (20) 8、码转换模块C (22) 9、码转换模块D (24) 10、LCD1602驱动模块 (25) 四、硬件验证结果说明 (29) 1、引脚锁定 (29) 2、基本功能的验证 (30) 3、紧急情况处理部分 (33) 4、手动更改时间部分 (34) 五、Signal Tap仿真结果 (37) 六、心得体会 (38) 七、参考文献 (39) 八、附录(产品使用说明书) (39)

摘要 1、EDA技术的概念 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。 2、VHDL语言概念 VHDL语言:超高速集成电路硬件描述语言(VHSIC Hardware Deseription Languagt,简称VHDL),是IEEE的一项标准设计语言。它源于美国国防部提出的超高速集成电路(Very High Speed Integrated Circuit,简称VHSIC)计划,是ASIC设计和PLD设计的一种主要输入工具。

智能交通信号灯控制系统设计

智能交通信号灯控制系统设计 摘要:本文对交通灯控制系统进行了研究,通过分析交通规则和交通灯的工作原理,给出了交通灯控制系统的设计方案。本系统是以89C51单片机为核心器件,采用双机容错技术,硬件实现了红绿灯显示功能、时间倒计时显示功能、左、右转提示和紧急情况发生时手动控制等功能。 关键词:交通灯;单片机;双机容错 0 引言 近年来随着机动车辆发展迅速,给城市交通带来巨大压力,城镇道路建设由于历史等各种原因相对滞后,特别是街道各十字路口,更是成为交通网中通行能力的“隘口”和交通事故的“多发源”。为保证交通安全,防止交通阻塞,使城市交通井然有序,交通信号灯在大多数城市得到了广泛应用。而且随着计算机技术、自动控制技术和人工智能技术的不断发展,城市交通的智能控制也有了良好的技术基础,使各种交通方案实现的可能性大大提高。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,是现代城市交通监控指挥系统中最重要的组成部分。本文设计的交通灯管理系统在实现了现代交通灯系统的基本功能的基础上,增加了容错处理技术(双机容错)、左右转提示和紧急情况(重要车队通过、急救车通过等)发生时手动控制等功能,增强了系统的安全性和可控性。 1 系统硬件电路的设计 该智能交通灯控制系统采用模块化设计兼用双机容错技术,以单片机89C51为控制核心,采用双机容错机制,结合通行灯输出控制显示模块、时间显示模块、手动模块以及电源、复位等功能模块。现就主要的硬件模块电路进行说明。 1.1 主控制系统 在介绍主控制系统之前,先对交通规则进行分析。设计中暂不考虑人行道和主干道差别,对一个双向六车道的十字路口进行分析,共确定了9种交通灯状态,其中状态0为系统上电初始化后的所有交通灯初试状态,为全部亮红灯,进入正常工作阶段后有8个状态,大致分为南北直行,南北左右转,东西直行,与东西左右转四个主要状态,及黄灯过渡的辅助状态。主控制器采用89C51单片机。单片机的P0口和P2口分别用于控制南北和东西的通行灯。 本文的创新之处在于采用了双机容错技术,很大程度上增强了系统的可靠性。容错技术以冗余为实质,针对错误频次较高的功能模块进行备份或者决策机制处理。但当无法查知运行系统最易出错的功能,或者系统对整体运行的可靠性要求很高时,双机容错技术则是不二选择。 双机容错从本质上讲,可以认为备置了两台结构与功能相同的控制机,一台正常工作,一台备用待命。传统的双机容错的示意图如图1所示,中U1和U2单元的软硬件结构完全相同。如有必要,在设计各单元时,通过采用自诊断技术、软件陷阱或Watch dog等系统自行恢复措施可使单元可靠性达到最大限度的提高。其关键部位为检测转换(切换)电路。

相关文档
最新文档