cmos反相器的电路设计及版图设计

cmos反相器的电路设计及版图设计
cmos反相器的电路设计及版图设计

课程设计任务书

学生姓名:专业班级:电子1001班

指导教师:工作单位:信息工程学院

题目: cmos反相器的电路设计及版图设计

初始条件:

Cadence ORCAD和L-EDIT软件

要求完成的主要任务:

1、课程设计工作量:2周

2、技术要求:

(1)学习ORCAD和L-EDIT软件。

(2)设计一个cmos反相器电路。

(3)利用ORCAD和L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。

3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰

写设计报告书。全文用A4纸打印,图纸应符合绘图规范。

时间安排:

2013.11.22布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。

2013.11.25-11.27学习ORCAD和L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。

2013.11.28-12.5对CMOS反相器电路进行设计仿真工作,完成课设报告的撰写。

2013.12.6 提交课程设计报告,进行答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日

目录

摘要 (3)

绪论 (5)

1软件介绍及电路原理 (6)

1.1软件介绍 (6)

1.2电路原理 (6)

2原理图绘制 (8)

3电路仿真 (10)

3.1瞬态仿真 (10)

3.2直流仿真 (11)

4版图设计及验证 (12)

4.1绘制反相器版图的前期设置 (12)

4.2绘制反相器版图 (13)

4.3 DRC验证 (15)

结束语 (17)

参考文献 (18)

摘要

CMOS技术自身的巨大发展潜力是IC高速持续发展的基础。集成电路制造水平发展到深亚微米工艺阶段,CMOS的低功耗、高速度和高集成度得到了充分的体现。本文将简单的介绍基于ORCAD和L-EDIT的CMOS反相器的电路仿真和版图设计,通过CMOS反相器的电路设计及版图设计过程,我们将了解并熟悉集成电路CAD的一种基本方法和操作过程。

关键词:CMOS反相器ORCAD L-EDIT版图设计

Abstract

The huge development potential of CMOS technology itself is the foundation of sustainable development of IC high speed. The manufacturing level of development of the integrated circuit to the deep sub micron technology, CMOS low power consumption, high speed and high integration have been fully reflected. In this paper, the circuit simulation and layout design of ORCAD and L-EDIT CMOS inverter based on simple introduction, through the circuit design and layout design process of CMOS inverter, we will understand and a basic method and operation process, familiar with IC CAD.

Keywords: CMOS inverter layout ORCAD L-EDIT

绪论

20世纪是IC迅速发展的时代。计算机等信息产业的飞速发展推动了集成电路(Integrated Circuit—IC)产业。大多数超大规模集成电路(Very Large Scale IC —VLSI)在日常生活中有着广泛的应用。集成电路的应用已深入到科学,工业农业,生活的每一个角落。集成电路的发展迅速,与科学的进步和社会的驱动密不可分。现在集成电路芯片的尺寸越来越小,时钟速度越来越快,电源电压越来越低,布线层数越来越多。所以手工来完成这些工作已经不可能。就必须利用一款和几款软件,由多数人共同完成。。而本文介绍的CMOS反相器就是集成电路中一种最基本的电路结构,我们将使用ORCAD软件画出电路的原理图,并完成功能仿真,使用L-EDIT软件画出电路的版图并完成电气性能验证。

门电路是构成各种复杂数字电路的基本逻辑单元,掌握各种门电路的逻辑功能和电气特性,对于正确使用数字集成电路是十分必要的。MOS门电路:以MOS 管作为开关元件构成的门电路。 MOS门电路,尤其是CMOS门电路具有制造工艺简单、集成度高、抗干扰能力强、功耗低、价格便宜等优点,得到了十分迅速的发展。所谓CMOS (Complementary MOS),是在集成电路设计中,同时采用两种MOS器件:NMOS和PMOS,并通常配对出现的一种电路结构。CMOS电路及其技术已成为当今集成电路,尤其是大规模电路、超大规模集成电路的主流技术。

反相器是数字电路中的一种基本功能模块。将两个串行反相器的输出作为一位寄存器的输入就构成了锁存器。锁存器、数据选择器、译码器和状态机等精密数字符件都需要使用基本反相器。本文就将详细讲述CMOS反相器的原理图设计功能仿真及版图设计验证。

1. 软件介绍及电路原理

1.1软件介绍

ORCAD Capture (以下以Capture代称)是一款基于Windows 操作环境下的电路设计工具。

利用Capture软件,能够实现绘制电路原理图以及为制作PCB和可编程的逻辑设计提供连续性的仿真信息。OrCAD Capture作为行业标准的PCB原理图输入方式,是当今世界最流行的原理图输入工具之一,具有简单直观的用户设计界面。OrCAD Capture CIS具有功能强大的元件信息系统,可以在线和集中管理元件数据库,从而大幅提升电路设计的效率。OrCAD Capture提供了完整的、可调整的原理图设计方法,能够有效应用于PCB的设计创建、管理和重用。将原理图设计技术和PCB布局布线技术相结合,OrCAD能够帮助设计师从一开始就抓住设计意图。不管是用于设计模拟电路、复杂的PCB、FPGA和CPLD、PCB改版的原理图修改,还是用于设计层次模块,OrCAD Capture都能为设计师提供快速的设计输入工具。此外,OrCAD Capture原理图输入技术让设计师可以随时输入、修改和检验PCB设计。

Cadence OrCAD Capture是一款多功能的PCB原理图输入工具。

Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国内应用广泛,具有很高知名度。

L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro 包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。

1.2设计原理

CMOS结构的主要优点是电路的静态功耗非常小,电路结构简单规则,使得它可以用于大规模集成电路、超大规模集成电路。为了能在同一硅材料(Wafer)上制作两种不同类型的MOS器件,必须构造两种不同类型的衬底。在P 型硅衬底上专门制作一块N型区域(n阱),作为PMOS的衬底。为防止源/漏区与衬底出现正偏置,通常P型衬底应接电路中最低的电位,N型衬底应接电路中

最高的电位。为保证电位接触的良好,在接触点采用重掺杂结构。

CMOS反相器是由一个n管和一个p管组成的,p管源极接vdd,n管源极接gnd,若输入IN为低电平,则p管导通,n管截止,输出OUT为高电平D。若输入IN为高电平,则n管导通,p管截止,输出OUT为低电平。从而该电路实现了非的逻辑运算,构成了CMOS反相器。

当Ui=UIH = VDD,VTN导通,VTP截止,Uo =Uol≈0V

当Ui= UIL=0V时,VTN截止,VTP导通,UO = UOH≈VDD

还有就是CMOS电路的优点:

(1)微功耗。CMOS电路静态电流很小,约为纳安数量级。

(2)抗干扰能力很强。输入噪声容限可达到VDD/2。

(3)电源电压范围宽。多数CMOS电路可在3~18V的电源电压范围内正常工作。

(4)输入阻抗高。

(5)负载能力强。CMOS电路可以带50个同类门以上。

(6)逻辑摆幅大(低电平0V,高电平VDD )

2.原理图绘制

(1) 浏览组件库:S-Edit 本身附有4 个组件库,它们分别是

在..\Tanner\S-Edit\library目录的scmos.sdb, spice.sdb, pages .sdb 与element.sdb 。若要引入这些组件库中的模块,可以选择Module---Symbol Browser 命令,打开Symbol Browser 对话框,单击Add Library按钮,可加入要使用的组件库,本范例中加入了scmos, spice, pages 与element 组件库在Library 列表中。

(2) 从组件库引用模块:编辑反相器电路会利用到NMOS, PMOS, Vdd 与Gnd 这4 个模块,所以要从组件库中复制NMOS, PMOS, Vdd 与Gnd 这4 个模块到文件,并在Module0 中编辑画面引用。

(3) 编辑反相器: 按住Alt 键拖动鼠标,可移动各对象。注意,MOSFET_N 与MOSFET_P选项分别有4 个节点,Vdd 与Gnd 选项分别有一个节点。将4 个对象摆放到恰当位置用导线连接,若在两对象相连接处,各节点上小圆圈消失即代表连接成功。

(4) 加入联机: 将4 个对象排列好后再利用左边的联机按钮,完成各端点的信号连接,注意控制鼠标键可将联机转向,按鼠标右键可终止联机。

(5) 加入输入端口与输出端口: 利用S-Edit 提供的输入端口按钮与输出端口按钮,标明此反相器的输入输出信号的位置与名称。再选择输出端口按钮,到工作区用鼠标左键选择要连的端点,若输入端口或输出端口未与所要连接的端点相接,则可利用移动功能将IN 输入端口移至反相器输入端,将OUT 输出端口接至反相器输出端。

(6) 加入工作电源:选取直流电压源Source_v_dc 作为此电路的工作电压源七、直流电压源Source _v_dc 符号有正(+)端与负(一)端。Source _v_dc 符号的正(+)端接Vdd, 将直流电压源Source _v_dc 符号的负(--)端接Gnd,两个全域符号Vdd 及两个Gnd 符号分开放置,所得电路图如下:

3电路仿真

3.1电路瞬态仿真

点击RUN PSPICE按钮,出现仿真界面如图。

添加一个波形显示窗口

添加纵坐标即可显示仿真波形

仿真结果如图

3.2直流仿真

直流仿真过程与前面类似

仿真结果如图

4 版图设计及验证

4.1绘制反相器版图的前期设置

打开L-Edit程序,并将新文件另存以合适的文件名存储在一定的文件夹下:在自己的计算机上一定的位置处打开L-Edit程序,此时L-Edit自动将工作文件命名为Layout1.sdb并显示在窗口的标题栏上。而在本例中则在L-Edit文件夹中建立“inv”文件夹,并将新文件以文件名“inv”存与此文件夹中。如图所示。

选择File->Replace Setup命令,在弹出的对话框中单击浏览按钮,按照路径..\Samples\SPR\example1\lights.tdb找到“lights.tdb”文件,单击OK即可。此时可将lights.tdb文件的设定选择性的应用到目前编辑的文件中。

L-Edit编辑方式是以组件(Cell)为单位而不是以文件为单位,一个文件中可以包含多个组件,而每一个组件则表示一种说明或者一种电路版图。每次打开一个新文件时便自动打开一个组件并命名为“Cell0”;也可以重命名组件名。方法是选择Cell->Rename 命令,在弹出的对话框中的Rename cell as文本框中输入符合实际电路的名称,如本设计中采用组件名“inv”即可,之后单击OK按钮。如图所示。

绘制布局图必须要有确实的大小,因此要绘图前先要确认或设定坐标与实际长度的关系。选择Setup->Design命令,打开Set Design对话框,在Technology 选项卡中出现使用技术的名称、单位与设定。本设计中的技术单位是Lambda。而Lambda单位与内部单位Internal Unit的关系可在Technology Setup选项组中设定。此次设计设定1个Lambda为1000个Internal Unit,也设定1个Lambda等于1个Micron。

接着选择Grid选项卡,其中包括使用格点显示设定、鼠标停格设定与坐标单位设定。此次设计设定1个显示的格点等于1个坐标单元,设定当格点距离小于8个像素时不显示;设定鼠标光标显示为Smooth类型,设定鼠标锁定的格点为0.5个坐标单位;设定1个坐标单位为1000个内部单位。

4.2 绘制反相器

4.2.1 编辑PMOS

按照N Well层、P Select层、Active层、Ploy层、Mental1层、Active contact 层的流程编辑PMOS组件[7]。其中,N Well层宽为24个格点、高为15个格点,P Select层宽为18个格点、高为10个格点,Active层宽为14个格点、高为5个格点,Ploy层宽为2个格点、高为20个格点,Mental1层宽为4个格点、高为4个格点,Active contact层宽为2个格点、高为2个格点。在设计各个图层时,一定要配合设计规则检查(DRC),参照设计规则反复修改对象。这样才可以高效的设计出符合规则的版图。PMOS组件的编辑结果如图所示。

PMOS组件结果图

4.2.2 编辑NMOS

按照N Select层、Active层、Ploy层、Mental1层、Active contact层的流程编辑NMOS组件[8]。其中,N Select层宽为18个格点、高为9个格点,Active层宽为14个格点、高为5个格点,Ploy层宽为2个格点、高为9个格点,Mental1层宽为4个格点、高为4个格点,Active contact层宽为2个格点、高为2个格点。NMOS组件的编辑结果如图所示。

NMOS组件结果图

4.2.3 其他部分

由于L-EDIT软件默认是使用P型衬底,所以要在P管加上N阱做衬底。两个管子栅极相连打孔并外接出去连接输入端in,源漏相连外接至out。我的最终的版图文件如图

4.3 DRC验证

点击tools-DRC即可开始DRC验证。验证结果如图

通过DRC验证。

结束语

课程设计是为了对课本知识的巩固和加强,由于课本上学到了很多都是理论知识的,不能很好的理解和运用,所以在这次课程设计过程中,对整个数字芯片全定制设计流程有了一个总体的认识。同时也熟练掌握了ORCAD和L-EDIT软件操作。

通过这次课程设计,加强了我们动手、思考和解决问题的能力。同时,培养了团队合作精神,在这次的课设中遇到了比如在加信号时,关于时间的问题,还有版图设计涉及到VDD和GND的设计,在老师的指导下,和同学间的相互讨论,最后都得到了解决。

参考文献

权海洋主编。《超大规模集成电路设计与实践》,西安电子科技大学出版社,2003年出版。

高德远主编。《超大规模集成电路-系统和电路的设计原理》,高等教育出版社,2003年出版。

高保嘉主编。《MOS VLSI分析与设计》,电子工业出版社,2002年出版。Martin,K.主编:《Digital Integrated Circuit Design》,电子工业出版社,2002年出版。

朱正涌编著. 《半导体集成电路》, 清华大学出版社, 2001年出版.

杨之廉等编著. 《超大规模集成电路设计方法学导论》(第2版),清华大学出版社, 2002年出版。

《集成电路软件》课程设计成绩评定表

指导教师签字:

年月日

忽略此处..

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

CMOS版图设计

第5章CMOS版图设计

5.1 版图设计基本概念 5.2 设计规则 5.3 基本工艺层版图 5.4 FET版图尺寸的确定 5.5 逻辑门的版图设计 5.6标准单元版图 5.7 设计层次化 2/78

3/78 5.1 版图设计基本概念 ? 什么是版图设计? ?Layout design :定义各工艺层图形的形状、尺寸以 及不同工艺层的相对位置。

?版图设计的内容 ?布局:就是将组成集成电路的各部分合理地布置在芯 片上。安排各个晶体管、基本单元、复杂单元在芯片 上的位置。 ?布线:就是按电路图给出的连接关系,在版图上布置 元器件之间、各部分之间的连接。设计走线,实现管 间、门间、单元间的互连。 ?尺寸确定:确定晶体管尺寸(W、L)、互连尺寸(宽 度)以及晶体管与互连之间的相对尺寸等。 4/78

?版图设计的目标 ?满足电路功能、性能指标、质量要求 ?尽可能节省面积,以提高集成度,降低成本 ?尽可能缩短连线,以减少复杂度,缩短延时、改善 可靠性 5/78

EDA工具的作用(EDA: Electronic Design Automation) ?版图编辑 ?规定各个工艺层上图形的形状、尺寸、位置(Layout Editor) ?规则检查 ?版图与电路图一致性检查(LVS,Layout Versus Schematic) ?设计规则检查(DRC,Design Rule Checker) ?电气规则检查(ERC,Electrical Rule Checker) ?布局布线 ?Place and route,自动给出版图布局与布线 6/78

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

集成电路版图基础知识练习

一、填空 1.ls (填写参数)命令用于显示隐藏文件。(-a) 2.进入当前目录的父目录的命令为 (%cd ..) 3.查看当前工作目录的命令为:(%pwd) 4.目录/home//uuu已建立,当前工作目录为/home/,采用绝对路径进入/home//uuu 的命令为:(%cd /home//uuu) 5.假设对letter文件有操作权限,命令%chmod a+rw letter会产生什么结果:(对 所有的用户增加读写权限。) 6.显示当前时间的命令为:(%date) 7.打开系统管理窗口的命令为:(%admintool) 8.与IP地址为166.111.4.80的主机建立FTP连接的命令为:(%ftp 166.111.4.80 or %ftp %open 166.111.4.80) 9.建立FTP连接后,接收单个文件的命令为:(%get) 10.建立FTP连接后,发送多个文件的命令为:(%mput) 11.有一种称为0.13um 2P5M CMOS单井工艺, 它的特征线宽为______,互连层共有 _____层,其电路类型为_______。0.13um 7 CMOS 12.请根据实际的制造过程排列如下各选项的顺序: a.生成多晶硅 b.确定井的位置和大小 c.定义扩散区,生成源漏区 d.确定有源区的位置和大小 e.确定过孔位置 正确的顺序为:___ _________________。bdace 13.集成电路中的电阻主要有__________, ____________, _____________三种。井电 阻,扩散电阻,多晶电阻 14.为方便版图绘制,通常将Contact独立做成一个单元,并以实例的方式调用。若该 Contact单元称为P型Contact,由4个层次构成,则该四个层次分别为:_________,_________, _________, ___________. active, P+ diffusion, contact, metal. 15.CMOS工艺中,之所以要将衬底或井接到电源或地上,是因为 ___________________________________。报证PN结反偏,使MOS器件能够正常工 作。 16.版图验证主要包括三方面:________,__________,__________; 完成该功能的 Cadence工具主要有(列举出两个):_________,_________。DRC, LVS, ERC, Diva, Dracula 17.造成版图不匹配的因数主要来自两个方面:一是制造工艺引起的,另一个是 __________;后者又可以进一步细分为两个方面:_______________, _____________。片上环境波动,温度波动,电压波动。 18.DRC包括几种常见的类型,如最大面积(Maximum Dimension),最小延伸(Minimum Extension),此外还有_________,_________,_________。最小间距,最小宽度,最小包围(Minimum Enclosure)。 19.减少天线效应的三种方法有:____________,____________,__________。插入二 极管,插入缓冲器,Jumper (或者,通过不同的金属层绕线)。 20.由于EDA工具的不统一,出现了各种不同的文件格式,如LEF, DEF等,业界公认 的Tape out的文件格式为 _______,它不可以通过文本编辑器查看,因为它是

模拟cmos集成电路设计实验

模拟cmos集成电路设计实验 实验要求: 设计一个单级放大器和一个两级运算放大器。单级放大器设计在课堂检查,两级运算放大器设计需要于学期结束前,提交一份实验报告。实验报告包括以下几部分内容: 1、电路结构分析及公式推导 (例如如何根据指标确定端口电压及宽长比) 2、电路设计步骤 3、仿真测试图 (需包含瞬态、直流和交流仿真图) 4、给出每个MOS管的宽长比 (做成表格形式,并在旁边附上电路图,与电路图一一对应) 5、实验心得和小结 单级放大器设计指标 两级放大器设计指标

实验操作步骤: a.安装Xmanager b.打开Xmanager中的Xstart

c.在Xstart中输入服务器地址、账号和密码 Host:202.38.81.119 Protocol: SSH Username/password: 学号(大写)/ 学号@567& (大写)Command : Linux type 2 然后点击run运行。会弹出xterm窗口。 修改密码

输入passwd,先输入当前密码,然后再输入两遍新密码。 注意密码不会显示出来。 d.设置服务器节点 用浏览器登陆http://202.38.81.119/ganglia/,查看机器负载情况,尽量选择负载轻的机器登陆,(注:mgt和rack01不要选取) 选择节点,在xterm中输入 ssh –X c01n?? (X为大写,??为节点名) 如选择13号节点,则输入ssh –X c01n13 e.文件夹管理 通常在主目录中,不同工艺库建立相应的文件夹,便于管理。本实验采用SMIC40nm工艺,所以在主目录新建SMIC40文件夹。 在xterm中,输入mkdir SMIC40 然后进入新建的SMIC40文件夹, 在xterm中,输入cd SMIC40.

集成电路版图设计论文

集成电路版图设计 班级12级微电子姓名陈仁浩学号2012221105240013 摘要:介绍了集成电路版图设计的各个环节及设计过程中需注意的问题,然后将IC版图设计与PCB版图设计进行对比,分析两者的差异。最后介绍了集成电路版图设计师这一职业,加深对该行业的认识。 关键词: 集成电路版图设计 引言: 集成电路版图设计是实现集成电路制造所必不可少的设计环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本与功耗。近年来迅速发展的计算机、通信、嵌入式或便携式设备中集成电路的高性能低功耗运行都离不开集成电路掩模版图的精心设计。一个优秀的掩模版图设计者对于开发超性能的集成电路是极其关键的。 一、集成电路版图设计的过程 集成电路设计的流程:系统设计、逻辑设计、电路设计(包括:布局布线验证)、版图设计版图后仿真(加上寄生负载后检查设计是否能够正常工作)。集成电路版图设计是集成电路从电路拓扑到电路芯片的一个重要的设计过程,它需要设计者具有电路及电子元件的工作原理与工艺制造方面的基础知识,还需要设计者熟练运用绘图软件对电路进行合理的布局规划,设计出最大程度体现高性能、低功耗、低成本、能实际可靠工作的芯片版图。集成电路版图设计包括数字电路、模拟电路、标准单元、高频电路、双极型和射频集成电路等的版图设计。具体的过程为: 1、画版图之前,应与IC 工程师建立良好沟通在画版图之前,应该向电路设计者了解PAD 摆放的顺序及位置,了解版图的最终面积是多少。在电路当中,哪些功能块之间要放在比较近的位置。哪些器件需要良好的匹配。了解该芯片的电源线和地线一共有几组,每组之间各自是如何分布在版图上的? IC 工程师要求的工作进度与自己预估的进度有哪些出入? 2、全局设计:这个布局图应该和功能框图或电路图大体一致,然后根据模块的面积大小进行调整。布局设计的另一个重要的任务是焊盘的布局。焊盘的安排要便于内部信号的连接,要尽量节省芯片面积以减少制作成本。焊盘的布局还应该便于测试,特别是晶上测试。 3、分层设计:按照电路功能划分整个电路,对每个功能块进行再划分,每一个模块对应一个单元。从最小模块开始到完成整个电路的版图设计,设计者需要建立多个单元。这一步就是自上向下的设计。 4、版图的检查: (1)Design Rules Checker 运行DRC,DRC 有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查。程序就按照规则检查文件运行,发现错误时,会在错误的地方做出标记,并且做出解释。

【书】模拟CMOS集成电路设计 毕查德.拉扎维著

【简介】模拟集成电路的设计与其说是一门技术,还不如说是一门艺术。它比数字集成电路设计需要更严格的分析和更丰富的直觉。严谨坚实的理论无疑是严格分析能力的基石,而设计者的实践经验无疑是诞生丰富直觉的源泉。这也正足初学者对学习模拟集成电路设计感到困惑并难以驾驭的根本原因。. 美国加州大学洛杉机分校(UCLA)Razavi教授凭借着他在美国多所著名大学执教多年的丰富教学经验和在世界知名顶级公司(AT&T,Bell Lab,HP)卓著的研究经历为我们提供了这本优秀的教材。本书自2000午出版以来得到了国内外读者的好评和青睐,被许多国际知名大学选为教科书。同时,由于原著者在世界知名顶级公司的丰富研究经历,使本书也非常适合作为CMOS模拟集成电路设计或相关领域的研究人员和工程技术人员的参考书。... 本书介绍模拟CMOS集成电路的分析与设计。从直观和严密的角度阐述了各种模拟电路的基本原理和概念,同时还阐述了在SOC中模拟电路设计遇到的新问题及电路技术的新发展。本书由浅入深,理论与实际结合,提供了大量现代工业中的设计实例。全书共18章。前10章介绍各种基本模块和运放及其频率响应和噪声。第11章至第13章介绍带隙基准、开关电容电路以及电路的非线性和失配的影响,第14、15章介绍振荡器和锁相环。第16章至18章介绍MOS器件的高阶效应及其模型、CMOS制造工艺和混合信号电路的版图与封装。

模拟CMOS集成电路设计.part1.rar 模拟CMOS集成电路设计.part2.rar 模拟CMOS集成电路设计.part3.rar 模拟CMOS集成电路设计.part4.rar 模拟CMOS集成电路设计.part5.rar

CMOS反相器电路版图设计与仿真

CMOS反相器电路版图设计与仿真 姓名:邓翔 学号:1007010033 导师:马奎 本组成员:邓翔石贵超王大鹏

CMOS反相器电路版图设计与仿真 摘要:本文是基于老师的指导下,对cadence软件的熟悉与使用,进行CMOS反相器的电路设计和电路的仿真以及版图设计与版图验证仿真。 关键字:CMOS反相器;版图设计。 Abstract:This article is based on the teacher's guidance, familiar with cadence software and use, for CMOS inverter circuit design and circuit simulation and landscape and the landscape design of the simulation. Key word:CMOS inverter;Landscape design. 一引言 20世纪70年代后期以来,一个以计算机辅助设计技术为代表的新的技术改革浪潮席卷了全世界,它不仅促进了计算机本身性能的进步和更新换代,而且几乎影响到全部技术领域,冲击着传统的工作模式。以计算机辅助设计这种高技术为代表的先进技术已经、并将进一步给人类带来巨大的影响和利益。计算机辅助设计技术的水平成了衡量一个国家产业技术水平的重要标志。 计算机辅助设计(Computer Aided Design,CAD)是利用计算机强有力的计算功能和高效率的图形处理能力,辅助知识劳动者进行工程和产品的设计与分析,以达到理想的目的或取得创新成果的一种技术。它是综合了计算机科学与工程设计方法的最新发展而形成的一门新兴学科。计算机辅助设计技术的发展是与计算机软件、硬件技术的发展和完善,与工程设计方法的革新紧密相关的。采用计算机辅助设计已是现代工程设计的迫切需要。 电子技术的发展使计算机辅助设计(CAD)技术成为电路设计不可或缺的有力工具。国内外电子线路CAD软件的相继推出与版本更新,是CAD技术的应用渗透到电子线路与系统设计的各个领域,如电路图和版图的绘制、模拟电路仿

集成电路版图设计笔试面试大全

集成电路版图设计笔试面试大全 1. calibre语句 2. 对电路是否了解。似乎这个非常关心。 3. 使用的工具。 , 熟练应用UNIX操作系统和L_edit,Calibre, Cadence, Virtuoso, Dracula 拽可乐(DIVA),等软件进行IC版图 绘制和DRC,LVS,ERC等后端验证 4. 做过哪些模块 其中主要负责的有Amplifier,Comparator,CPM,Bandgap,Accurate reference,Oscillator,Integrated Power MOS,LDO blocks 和Pad,ESD cells以及top的整体布局连接 5. 是否用过双阱工艺。 工艺流程见版图资料 在高阻衬底上同时形成较高的杂质浓度的P阱和N阱,NMOS、PMOS分别做在这两个阱中,这样可以独立调节两种沟道MOS管的参数,使CMOS电路达到最优特性,且两种器件间距离也因采用独立的阱而减小,以适合于高密度集成,但是工艺较复杂。 制作MOS管时,若采用离子注入,需要淀积Si3N4,SiO2不能阻挡离子注入,进行调沟或调节开启电压时,都可以用SiO2层进行注入。 双阱CMOS采用原始材料是在P+衬底(低电阻率)上外延一层轻掺杂的外延层P-(高电阻率)防止latch-up效应(因为低电阻率的衬底可以收集衬底电流)。 N阱、P阱之间无space。

6. 你认为如何能做好一个版图,或者做一个好版图需要注意些什么需要很仔细的回答~答:一,对于任何成功的模拟版图设计来说,都必须仔细地注意版图设计的floorplan,一般floorplan 由设计和应用工程师给出,但也应该考虑到版图工程师的布线问题,加以讨论调整。总体原则是 模拟电路应该以模拟信号对噪声的敏感度来分类。例如,低电平信号节点或高阻抗节点,它们与输入信号典型相关,因此认为它们对噪声的敏感度很高。这些敏感信号应被紧密地屏蔽保护起来,尤其是与数字输出缓冲器隔离。高摆幅的模拟电路,例如比较器和输出缓冲放大器应放置在敏感模拟电路和数字电路之间。数字电路应以速度和功能来分类。显而易见,因为数字输出缓冲器通常在高速时驱动电容负载,所以应使它离敏感模拟信号最远。其次,速度较低的逻辑电路位于敏感模拟电路和缓冲输出之间。注意到敏感模拟电路是尽可能远离数字缓冲输出,并且最不敏感的模拟电路与噪声最小的数字电路邻近。 芯片布局时具体需考虑的问题,如在进行系统整体版图布局时,要充分考虑模块之间的走线,避免时钟信号线对单元以及内部信号的干扰。模块间摆放时要配合压焊点的分布,另外对时钟布线要充分考虑时延,不同的时钟信号布线应尽量一致,以保证时钟之间的同步性问题。而信号的走线要完全对称以克服外界干扰。 二(电源线和地线的布局问题

《超大规模集成电路设计》考试习题(含答案)完整版分析

1.集成电路的发展过程经历了哪些发展阶段?划分集成电路的标准是什么? 集成电路的发展过程: ?小规模集成电路(Small Scale IC,SSI) ?中规模集成电路(Medium Scale IC,MSI) ?大规模集成电路(Large Scale IC,LSI) ?超大规模集成电路(Very Large Scale IC,VLSI) ?特大规模集成电路(Ultra Large Scale IC,ULSI) ?巨大规模集成电路(Gigantic Scale IC,GSI) 划分集成电路规模的标准 2.超大规模集成电路有哪些优点? 1. 降低生产成本 VLSI减少了体积和重量等,可靠性成万倍提高,功耗成万倍减少. 2.提高工作速度 VLSI内部连线很短,缩短了延迟时间.加工的技术越来越精细.电路工作速度的提高,主要是依靠减少尺寸获得. 3. 降低功耗 芯片内部电路尺寸小,连线短,分布电容小,驱动电路所需的功率下降. 4. 简化逻辑电路 芯片内部电路受干扰小,电路可简化. 5.优越的可靠性 采用VLSI后,元件数目和外部的接触点都大为减少,可靠性得到很大提高。 6.体积小重量轻 7.缩短电子产品的设计和组装周期 一片VLSI组件可以代替大量的元器件,组装工作极大的节省,生产线被压缩,加快了生产速度. 3.简述双阱CMOS工艺制作CMOS反相器的工艺流程过程。 1、形成N阱 2、形成P阱 3、推阱 4、形成场隔离区 5、形成多晶硅栅 6、形成硅化物 7、形成N管源漏区 8、形成P管源漏区 9、形成接触孔10、形成第一层金属11、形成第一层金属12、形成穿通接触孔13、形成第二层金属14、合金15、形成钝化层16、测试、封装,完成集成电路的制造工艺 4.在VLSI设计中,对互连线的要求和可能的互连线材料是什么? 互连线的要求 低电阻值:产生的电压降最小;信号传输延时最小(RC时间常数最小化) 与器件之间的接触电阻低 长期可靠工作 可能的互连线材料 金属(低电阻率),多晶硅(中等电阻率),高掺杂区的硅(注入或扩散)(中等电阻率)

CMOS反相器的版图设计

实验一:CMOS反相器的版图设计 一、实验目的 1、创建CMOS反相器的电路原理图(Schematic)、电气符号(symbol)以及版图(layout); 2、利用’gpdk090’工艺库实例化MOS管; 3、运行设计规则验证(Design Rule Check,DRC)确保版图没有设计规则错误。 二、实验要求 1、打印出完整的CMOS反相器的电路原理图以及版图; 2、打印CMOS反相器的DRC报告。 三、实验工具 Virtuoso 四、实验内容 1、创建CMOS反相器的电路原理图; 2、创建CMOS反相器的电气符号; 3、创建CMOS反相器的版图; 4、对版图进行DRC验证。

1、创建CMOS反相器的电路原理图及电气符号图 首先创建自己的工作目录并将/home/iccad/cds.lib复制到自己的工作目录下(我的工作目录为/home/iccad/iclab),在工作目录内打开终端并打开virtuoso(命令为icfb &). 在打开的icfb –log中选择tools->Library Manager,再创建自己的库,在当前的对话框上选择File->New->Library,创建自己的库并为自己的库命名(我的命名为lab1),点击OK后在弹出的对话框中选择Attach to an exiting techfile并选择gpdk090_v4.6的库,此时Library manager的窗口应如图1所示: 图1 创建好的自己的库以及inv 创建好自己的库之后,就可以开始绘制电路原理图,在Library manager窗口中选中lab1,点击File->New->Cell view,将这个视图命名为inv(CMOS反相器)。需要注意的是Library Name一定是自己的库,View Name是schematic,具体如图2所示: 图2 inv电路原理图的创建窗口 点击OK后弹出schematic editing的对话框,就可以开始绘制反相器的电路原理图(schematic view)。其中nmos(宽为120nm,长为100nm.)与pmos(宽为240nm,长为100nm.)从gpdk090_v4.6这个库中添加,vdd与gnd在analogLib这个库中添加,将各个原件用wire连接起来,连接好的反相器电路原理图如图3所示:

CMOS反相器版图设计

成绩评定表 学生姓名班级学号7 专业课程设计题目CMOS反相器评 语 组长签字: 成绩 日期20 年月日

课程设计任务书 学院信息科学与工程学院专业 学生姓名班级学号 课程设计题目CMOS反相器 实践教学要求与任务: 1.用tanner软件中的S-Edit编辑CMOS反相器。 2.用tanner软件中的TSpice对CMOS反相器电路进行仿真并观察波形。 3.用tanner软件中的L-Edit绘制CMOS反相器版图,并进行DRC验证。 4.用tanner软件中的TSpice对版图电路进行仿真并观察波形。 5.用tanner软件中的layout-Edit对电路网表进行LVS检验观察原理图与版图的匹配程度。 工作计划与进度安排: 第一周 周一:教师布置课设任务,学生收集资料,做方案设计。 周二:熟悉软件操作方法。 周三~四:画电路图 周五:电路仿真。 第二周 周一~二:画版图。 周三:版图仿真。 周四:验证。 周五:写报告书,验收。 指导教师: 201 年月日专业负责人: 201 年月日 学院教学副院长: 201 年月日

目录 目录............................................................................................................................ III 1.绪论 (1) 1.1设计背景 (1) 1.2设计目标 (1) 2.CMOS反相器 (2) 2.1CMOS反相器电路结构 (2) 2.2CMOS反相器电路仿真 (3) 2.3CMOS反相器的版图绘制 (4) 2.4CMOS反相器的版图电路仿真 (4) 2.5LVS检查匹配 (5) 总结 (7) 参考文献 (8) 附录一:原理图网表 (9) 附录二:版图网表 (10)

集成电路版图设计电路设计微电子工艺IC芯片笔试面试题目-----超全了

如对您有帮助,请购买打赏,谢谢您! 集成电路设计基础(工艺、版图、流程、器件) 1、什么叫Latchup,如何预防闩锁效应?(仕兰、科广试题) Q1为一纵向PNP BJT, 基极(base)是nwell, 基极到集电极(collector)的增益可达数百倍;Q2是一横向的NPN BJT,基极为P substrate,到集电极的增益可达数十倍;Rwell是nwell的寄生电阻;Rsub是substrate电阻。 以上四元件构成可控硅(SCR)电路,当无外界干扰未引起触发时,两个BJT 处于截止状态,集电极电流是C-B的反向漏电流构成,电流增益非常小,此时Latch up不会产生。当其中一个BJT的集电极电流受外部干扰突然增加到一定值时,会反馈至另一个BJT,从而使两个BJT因触发而导通,VDD至GND(VSS)间形成低抗通路,Latch up由此而产生。 产生Latch up 的具体原因: ? 芯片一开始工作时VDD变化导致nwell和P substrate间寄生电容中产生足够的电流,当VDD变化率大到一定地步,将会引起Latch up。 ? 当I/O的信号变化超出VDD-GND(VSS)的范围时,有大电流在芯片中产生,也会导致SCR的触发。 ? E SD静电加压,可能会从保护电路中引入少量带电载子到well或substrate中,也会引起SCR的触发。 ? 当很多的驱动器同时动作,负载过大使power和gnd突然变化,也有可能打开SCR的一个BJT。 ? Well 侧面漏电流过大。 消除“Latch-up”效应的方法: 版图设计时: ①为减小寄生电阻Rs和Rw,版图设计时采用双阱工艺、多增加电源和地 接触孔数目,加粗电源线和地线,对接触进行合理规划布局,减小有害 的电位梯度; ②避免source和drain的正向偏压; ③使用Guard ring: P+ ring环绕nmos并接GND;N+ ring环绕pmos并接 VDD,一方面可以降低Rwell和Rsub的阻值,另一方面可阻止载流子到达BJT的基极。如果可能,可再增加两圈ring; ④Substrate contact和well contact应尽量靠近source,以降低Rwell和Rsub 的阻值; ⑤使nmos尽量靠近GND,pmos尽量靠近VDD,保持足够的距离在pmos 和nmos之间以降低引发SCR的可能; ⑥除在I/O处需采取防Latch up的措施外,凡接I/O的内部mos 也应圈 guard ring; ⑦I/O处尽量不使用pmos(nwell)。 工艺设计时: 降低寄生三极管的电流放大倍数:以N阱CMOS为例,为降低两晶体管的放大倍数,有效提高抗自锁的能力,注意扩散浓度的控制。为减小寄生PNP管的寄生电阻Rs,可在高浓度硅上外延低浓度硅作为衬底,抑制自锁效应。工艺上采用深阱扩散增加基区宽度可以有效降低寄生NPN管的放大倍数; 具体应用时:使用时尽量避免各种串扰的引入,注意输出电流不易过大。 器件外部的保护措施?低频时加限流电阻(使电源电流<30mA)?尽量减小电路中的电容值。(一般C<0.01μF)

cmos模拟集成电路设计_实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

北京邮电大学电子工程学院2013211207班何明枢CMOS模拟集成电路与设计实验报告 目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (15) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

集成电路基础工艺和版图设计测试试卷

集成电路基础工艺和版图设计测试试卷 (考试时间:60分钟,总分100分) 第一部分、填空题(共30分。每空2分) 1、NMOS是利用电子来传输电信号的金属半导体;PMOS是利用空穴来传输电信号的金属半导体。 2、集成电路即“IC”,俗称芯片,按功能不同可分为数字集成电路和模拟集成电路,按导电类型不同可分为 双极型集成电路和单极型集成电路,前者频率特性好,但功耗较大,而且制作工艺复杂,不利于大规模集成;后者工作速度低,但是输入阻抗高、功耗小、制作工艺简单、易于大规模集成。 3、金属(metal)—氧化物(oxid)—半导体(semiconductor)场效应晶体管即MOS管,是一个四端有源器件,其四端分别是栅 极、源极、漏极、背栅。 4、集成电路设计分为全定制设计方法和半定制设计方法,其中全定制设计方法又分为基于门阵列和标准单元 的设计方法,芯片利用率最低的是基于门阵列的设计方法。 第二部分、不定项选择题(共45分。每题3分,多选,错选不得分,少选得1分) 1、在CMOS集成电路中,以下属于常用电容类型的有(ABCD) A、MOS电容 B、双层多晶硅电容 C、金属多晶硅电容 D、金属—金属电容 2、在CMOS集成电路中,以下属于常用电阻类型的有(ABCD) A、源漏扩散电阻 B、阱扩散电阻 C、沟道电阻 D、多晶硅电阻 3、以下属于无源器件的是(CD ) A、MOS晶体管 B、BJT晶体管 C、POL Y电阻 D、MIM电容 4、与芯片成本相关的是(ABC) A、晶圆上功能完好的芯片数 B、晶圆成本 C、芯片的成品率 D、以上都不是 5、通孔的作用是(AB ) A、连接相邻的不同金属层 B、使跳线成为可能 C、连接第一层金属和有源区 D、连接第一层金属和衬底 6、IC版图的可靠性设计主要体现在(ABC)等方面,避免器件出现毁灭性失效而影响良率。 A、天线效应 B、闩锁(Latch up) C、ESD(静电泄放)保护 D、工艺角(process corner)分析 7、减小晶体管尺寸可以有效提高数字集成电路的性能,其原因是(AB) A、寄生电容减小,增加开关速度 B、门延时和功耗乘积减小 C、高阶物理效应减少 D、门翻转电流减小 8、一般在版图设计中可能要对电源线等非常宽的金属线进行宽金属开槽,主要是抑制热效应对芯片的损害。下面哪些做法符合宽金属开槽的基本规则?(ABCD) A、开槽的拐角处呈45度角,减轻大电流密度导致的压力 B、把很宽的金属线分成几个宽度小于规则最小宽度的金属线 C、开槽的放置应该总是与电流的方向一致 D、在拐角、T型结构和电源PAD区域开槽之前要分析电流流向 9、以下版图的图层中与工艺制造中出现的外延层可能直接相接触的是(AB)。 A、AA(active area) B、NW(N-Well) C、POLY D、METAL1

集成电路版图设计_实验三习题

实验三: 1、反相器直流工作点仿真 1)偏置电压设置:Vin=1V;Vdd=2V; 2)NMOS沟道尺寸设置:Wnmos= ;Lnmos= ; 3)PMOS沟道尺寸设置:设置PMOS的叉指数为3,每个叉指的宽度为变量wf;这样Wpmos=3*wf;设置wf=Wnmos;Lpmos= ; 4)直流工作点仿真结果:Ids= ;Vout= ;NMOS工作在工作区域;PMOS 工作在工作区域;该反相器的功耗为; 2、反相器直流工作点扫描设置 1)偏置电压设置:Vin=1V;Vdd=2V; 2)在直流仿真下设置Wnmos= ;Lnmos= ;扫描参数为PMOS的叉指宽度wf,扫描范围为到;扫描步长为;仿真输出wf为横坐标、Vout为纵坐标的波形曲线; 观察wf对Vout的影响; 3)在上述步骤的基础上,记录输出电压Vout=1V时对应的PMOS的叉指宽度wf= ; 3、扫描反相器的直流电压转移特性 1)在上述步骤的基础上,记录Ids= ;该反相器的功耗Pdc= ; 2)扫描参数为Vin,扫描电压范围为到;扫描步长为;仿真输出Vin为横坐标、Vout为纵坐标的波形曲线;观察Vin对Vout的转移特性;结合理论分析在转移特性曲线上标出A、B、C、D、E五个工作区域; 3)扫描参数为Vin,扫描电压范围为到;扫描步长为;仿真输出Vin为横坐标、Ids为纵坐标的波形曲线;观察Vin对Ids的转移特性;结合理论分析反相器的静态功耗和动态功耗; 4、仿真反相器的瞬态特性 1)为反相器设置负载电容为; 2)设置Vin为Vpluse信号源,高电平为;低电平为;Rise time= ;Fall time= ; 周期为; 3)设置瞬态仿真stop time= ;step= ;maxstep= ; 4)观察仿真结果,该反相器的传输延迟= ;

集成电路版图设计笔试面试大全整理

1. calibre语句 2. 对电路是否了解。似乎这个非常关心。 3. 使用的工具。 熟练应用UNIX操作系统和L_edit,Calibre, Cadence, Virtuoso, Dracula拽可乐(DIVA),等软件进行IC版图绘制和DRC,LVS,ERC等后端验证 4. 做过哪些模块 其中主要负责的有Amplifier,Comparator,CPM,Bandgap,Accurate reference,Oscillator,Integrated Power MOS,LDO blocks 和Pad,ESD cells以及top的整体布局连接 5. 是否用过双阱工艺。 工艺流程见版图资料 在高阻衬底上同时形成较高的杂质浓度的P阱和N阱,NMOS、PMOS分别做在这两个阱中,这样可以独立调节两种沟道MOS管的参数,使CMOS电路达到最优特性,且两种器件间距离也因采用独立的阱而减小,以适合于高密度集成,但是工艺较复杂。 制作MOS管时,若采用离子注入,需要淀积Si3N4,SiO2不能阻挡离子注入,进行调沟或调节开启电压时,都可以用SiO2层进行注入。 双阱CMOS采用原始材料是在P+衬底(低电阻率)上外延一层轻掺杂的外延层P-(高电阻率)防止latch-up效应(因为低电阻率的衬底可以收集衬底电流)。 N阱、P阱之间无space。 6. 你认为如何能做好一个版图?或者做一个好版图需要注意些什么需要很仔细的回答! 答:一,对于任何成功的模拟版图设计来说,都必须仔细地注意版图设计的floorplan,一般floorplan 由设计和应用工程师给出,但也应该考虑到版图工程师的布线问题,加以讨论调整。总体原则是 模拟电路应该以模拟信号对噪声的敏感度来分类。例如,低电平信号节点或高阻抗节点,它们与输入信号典型相关,因此认为它们对噪声的敏感度很高。这些敏感信号应被紧密地屏蔽保护起来,尤其是与数字输出缓冲器隔离。高摆幅的模拟电路,例如比较器和输出缓冲放大器应放置在敏感模拟电路和数字电路之间。数字电路应以速度和功能来分类。显而易见,因为数字输出缓冲器通常在高速时驱动电容负载,所以应使它离敏感模拟信号最远。其次,速度较低的逻辑电路位于敏感模拟电路和缓冲输出之间。注意到敏感模拟电路是尽可能远离数字缓冲输出,并且最不敏感的模拟电路与噪声最小的数字电路邻近。 芯片布局时具体需考虑的问题,如在进行系统整体版图布局时,要充分考虑模块之间的走线,避免时钟信号线对

CMOS反相器电路版图设计与仿真

CMO反相器电路版图设计与仿真 姓名:邓翔 学号:33 导师:马奎 本组成员:邓翔石贵超王大鹏

CMO反相器电路版图设计与仿真 摘要:本文是基于老师的指导下,对cade nee软件的熟悉与使用, 进行CMO反相器的电路设计和电路的仿真以及版图设计与版图验证仿真。 关键字:CMO反相器;版图设计。 Abstract:This article is based on the teacher's guida nee, familiar with cade nee software and use, for CMOS in verter circuit design and circuit simulation and Iandscape and the Iandscape design of the simulatio n. Key word : CMOS inverter;Landscape design. 一引言 20世纪70年代后期以来,一个以计算机辅助设计技术为代表的新的技术改革浪潮席卷了全世界,它不仅促进了计算机本身性能的进步和更新换代,而且几乎影响到全部技术领域,冲击着传统的工作模式。以计算机辅助设计这种高技术为代表的先进技术已经、并将进一步给人类带来巨大的影响和利益。计算机辅助设计技术的水平成了衡量一个国家产业技术水平的重要标志。 计算机辅助设计(Computer Aided Design,CAD是利用计算机强有力的计算功能和高效率的图形处理能力,辅助知识劳动者进行工程和产品的设计与分析,以达到理想的目的或取得创新成果的一种技术。它是综合了计算机科学与工程设计方法的最新发展而形成的一门新兴学科。计算机辅助设计技术的发 展是与计算机软件、硬件技术的发展和完善,与工程设计方法的革新紧密相关的。采用计算机辅助设计已是现代工程设计的迫切需要。 电子技术的发展使计算机辅助设计(CAD)技术成为电路设计不可或缺的有力工具。国内外电子线路CAD软件的相继推出与版本更新,是CAD技术的应用渗透到电子

集成电路版图复习课答案总结(最终版)

1、描述集成电路工艺技术水平的五个技术指标及其物理含义 ⑴集成度(Integration Level):以一个IC芯片所包含的元件(晶 体管或门/数)来衡量,(包括有源和无源元件)。 ⑵特征尺寸 (Feature Size) /(Critical Dimension):特征尺 寸定义为器件中最小线条宽度(对MOS器件而言,通常指器件栅电极 所决定的沟道几何长度),也可定义为最小线条宽度与线条间距之和 的一半。 ⑶晶片直径(Wafer Diameter):当前的主流晶圆的尺寸为12吋(300mm),正在向18吋(450mm)晶圆迈进。 ⑷芯片面积(Chip Area):随着集成度的提高,每芯片所包含的晶 体管数不断增多,平均芯片面积也随之增大。 ⑸封装(Package):指把硅片上的电路管脚,用导线接引到外部 接头处,以便于其它器件连接。封装形式是指安装半导体集成电路芯 片用的外壳。 2、简述集成电路发展的摩尔定律。 2集成电路芯片的集成度每三年提高4倍,而加工特征尺寸缩小倍,这就是摩尔定律。当价格不变时,集成电路上可容纳的晶体管数目,约每隔18个月便会增加一倍,性能也将提升一倍 3、集成电路常用的材料有哪些? 集成电路中常用的材料有三类:半导体材料,如Si、Ge、GaAs 以 及InP 等;绝缘体材料,如SiO2、SiON 和Si3N4 等;金属材料, 如铝、金、钨以及铜等。

4、集成电路按工艺器件类型和结构形式分为哪几类,各有什么特点。 双极集成电路:主要由双极晶体管构成(NPN型双极集成电路、PNP型双极集成电路)。优点是速度高、驱动能力强,缺点是功耗较大、集成度较低。 CMOS集成电路:主要由NMOS、PMOS构成CMOS电路,功耗低、集成度高,随着特征尺寸的缩小,速度也可以很高。 BiCMOS集成电路:同时包括双极和CMOS晶体管的集成电路为BiCMOS集成电路,综合了双极和CMOS器件两者的优点,但制作工艺复杂。 5、解释基本概念: 微电子、集成电路、集成度、场区、有源区、阱、外延 微电子:微电子技术是随着集成电路,尤其是超大型规模集成电路而发展起来的一门新的技术。微电子技术包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,微电子技术是微电子学中的各项工艺技术的总和。微电子学是研究在固体(主要是半导体)材料上构成的微小型化电路、电路及微电子系统的电子学分支。 集成电路:通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体单晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能。 集成度:集成电路的集成度是指单块芯片上所容纳的元件数目。

相关文档
最新文档