智力抢答器课程设计报告

智力抢答器课程设计报告
智力抢答器课程设计报告

电子技术课程设计

题目:①组装收音机

②智力抢答器

姓名:

学号:

班级:

指导教师:

开设学期:

一.收音机部分

1.收音机原理

当调幅信号感应的熬B1及C1组成的天线调谐回路,选出我们所需要的电台信号(f1)进入V1(9018H)三极管基极;本振信号在高出f1频率一个中频的f2(f2=f1+465 KHZ),例如:f1=700 KHZ

则f2+700 KHZ+465 KHZ,这个信号输入到V1发射极,由V1三极管进行变频,通过B3选出465 KHZ的中频信号,经V2和V3进行两级中频放大,然后进入V4检波管,检出音频信号经V5(9014)进行低频放大,再由V6,V7组成的功率放大器进行功率放大,进而推动扬声器发出选择的电台播音。

图中D1,D2(IN4148)组成1.3V±0.1V稳压电路,来固定电压,进而稳定各级的工作电流,以保持灵敏度。由V4(9018)三极管的一个PN结用作检波。R1,R4,R6,R10分别为V1,V1,V3,V5的工作点调整电阻。R11为V6,V7功率放大级的工作点调整电阻。R8为中放的反馈电阻,B3,B4,B5为中周,既是放大器的交流负载又是中频选频器,起交流负载及阻抗匹配作用。

2.安装调试步骤

○1检查元器件数量。

○2整机电路分析,熟悉原件在电路板上的安装位置。

○3元器件焊接,安装。

○4检查电路,将安装好的收音机和电路原理图对照检查下列内容。

a.检查各级晶体管的型号,安装位置和管脚是否正确。

b.检查电解电容的引线正负极是否接错。

c.分段绕制的磁性天线线圈的初次安装位置是否正确。

d.检查各断点是否焊接。

检查无误后,接通三伏电源,打开开关,调音量至最大,拨动频率按钮,调台收听。

2.三极管各点的静态电位

IC1=0.18-0.22MA IC2=0.4-0.8MA IC3=1-2MA

IC5=2-5MA IC6=4-10MA IC7=4-10MA

3.总结与体会

通过一天的对收音机的焊接和调试,我对收音机有了初步了解,也使这样一个从小陪伴到大的电器对自己而言不再神秘,实训锻炼了自己动手能力和思维能力,让我终于知道了平时所学的意义和实用。让我明白很多东西看似复杂而神秘,但都是以理论为基础的,而理论与实践相结合是很重要的,在以后的学习生活中,不仅要掌握好理论知识,更要注重动手

和动脑的能力,亲手焊接的收音机让我很有成就感,也增强了我以后努力学习的信心。

二.课题设计部分

1.课题设计任务及要求

·设计一个智力竞赛抢答器,可同时供八人参加比赛,他们的编号分别是0,1,2,3,4,5,6,7,各用一个强大按钮,按钮的编号与选手的编号相对应,分别是S0,S1,S2,S3,S4,S5,S6,S7.

·给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答开始。

·1.找出第一时间抢答的选手,并显示,同时扬声器给出印象提示。优先抢答选手的编号一直保持到主持人将系统清零为止。

·2.具有定时抢答功能,且一次抢答的时间可以由主持人设定。当主持人启动开始按钮后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响,声响持续时间0.5s左右。如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器显示00.

·3.具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示为0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以是警告。

2.方案论证及总体电路框图

总开关由主持人控制,各路选手抢答后产生的高低电平直接

经过优先编码电路,然后经过锁存器,译码电路到气短数码显

示器同时,由秒脉冲产生电路产生的秒脉冲驱动定时电路工

作。

3.单元电路的设计

(1)抢答器电路

参考电路如图,该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显

示编号;二是禁止其他选手按键操作无效。工作过程:开关S

置于“清除”端时,RS触发器的端均为0,四个触发器输出

置零,是74LS148=0,使之处于工作状态。当开关置于“开始”

时,抢答器处于等待工作状态,当有选手按下是(如按下

S5),74LS148的输出经RS锁存后,1Q=1,74LS148处于工作状态,经译码显示为“5”,此外,1Q=1,使74LS148=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148此时由于仍为1Q=1,使输出为1,所以74LS148仍处于禁止状态,确保不会出现二次按键时输入信号,保证了抢答者的优先性。

(1)定时电路

由节目主持人根据抢答的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计。

(2)报警电路

由555定时器和三极管构成。其中555构成多谢振荡器,震荡频率f0=1.43/【(R1+2R2)C】,其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谢振荡器工作,反之,电路停振。

(3)时序控制电路

门G1的作用是控制时钟信号CP的放行与禁止,们G2的作

用是控制74LS148的输入使能端。

4.安装与调试

(1)按照设计好的电路图选择器件并检查。

(2)检查实验仪器的运作好坏。

(3)根据电路图在电路板上组装单元电路,确认芯片是否差

错,接线是否正确。

(4)检查无误后通电调试,排除故障至成功,再连接其他单

元电路,依次调试。直至电路正常运行。

5.电路功能与操作说明

主持人控制抢答控制开关S,并有系统清除功能,选手按动

按钮,抢答器锁存相应编号,并在LED数码管上显示,同时

扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢

答选手的编号一直保持到主持人将系统清除为止。当主持人

启动“开始”键后,定时器进行减计时,同时扬声器发出短

暂的声响,声响持续的时间为0.5秒左右。参赛选手在设定

时间内抢答,抢答有效,定时器停止工作,显示器上显示选

手的编号和抢答的时间,并保持到主持人将系统清除为止,如果定时时间已到,无人抢答,本次抢答无效,系统报警并停止抢答,定时显示器上显示00.

6.总体电路及器件清单

开关9个数码管五个 100K电阻2个 15K电阻10个

68K电阻2个 10uf电容3个 0.1uf电容3个

100uf电容1个 74192四个 555两个 74148一个

74279一个 7448三个 74121一个导线若干

7.总结与体会

通过本次课程设计,把我们在课堂上学到的数字电路知识运用到了实际当中。如各种常见芯片的功能各种组合逻辑电路和时序逻辑电路的设计,在此次设计当中,我学会了很多东西,也有很多收获。

在进行一次综合性的设计时,要全面考虑问题,如想用其他信号来控制一个信号,就要考虑和这个信号直接或间接关系的信号,然后用真值表解决他们的关系,通过门电路

来实现。这两个星期的课程设计,让我对书本上的理论知识有了更深刻的理解,并且学会了联系实际。通过这次设计,让我对学习产生了更加浓厚的兴趣,当自己亲手设计的东西可以成功运行时,那种成就感是别的方面得不到的,因此,在课程设计中,我受益匪浅,并期待下一次机会的到来。

多路智力抢答器实验报告

湖北经济学院 数字电子技术课程设计报告 课题名称:数字电子技术课程设计指导教师: 学生班级: 学生姓名: 学号: 学生院系: 2012年4月

设计任务 一、基本功能 1、设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,分别用八个抢答按钮So、S1、S 2、S 3、S 4、S 5、S 6、S7表示。 2、设置一个由主持人控制的控制开关,用来控制系统清零和抢答。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 二、扩展功能 1、抢答器具有定时抢答的功能,抢答时间为30秒。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出声响,声响持续时间为0.5秒左右。 2、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。

设计报告 一、设计目的 1、学习数字电路中的优先编码器,锁存器,计数器,时序控制电路,多谐振荡器等单元电路的综合运用。 2、掌握各芯片的逻辑功能及使用方法。 3、了解面包板结构及其接线方法。 4、了解数字抢答器的组成及工作原理。 5、熟悉数字抢答器的设计与制作。 二、设计步骤 1、画出原理框; 2、根据原理框图,把框图中每个部分电路设计出来,画出电路图; 3、仿真调试; 4、搜集元器件; 5、搭建电路,实现功能。 三、具体设计过程 1、画出原理框图

八人抢答器的课程设计

郑州电力职业技术学院毕业生设计 题目:八人抢答器课程设计 系别:电力工程系 专业:供用电技术 班级:09供电三班 学号: 姓名:张华永 设计成绩指导教师赤娜 答辩成绩主答辩教师 综合成绩答辩委员会主任 目录 一引言 (4) 1.1 设计要求 (4) 1.2 功能要求 (4) 1.3 功能介

绍 (4) 二方案设计与论证 (4) 2.1 各部分电路简述 (4) 2.2 设计方案简述 (5) 三系统分析与设计 (5) 3.1 抢答器电路设计与相关元器件 (5) 3.2 定时电路设计与相关元器件 (7) 3.3 报警电路设计与相关元器件 (9) 3.4 时序电路与相关元器件 (9) 3.5 智力抢答器电路原理图 (10)

3.6 元器件清单 (11) 设计总结体会 (13) 参考文献 (14) 摘要 随着电子技术的发展,它在各个领域的应用也越来越广泛。人们对它的认识也逐步加深。人们也利用了电子技术以及相关的知识解决了一些实际问题。如:智能抢答器的设计与制作。抢答器是竞赛问题中一种常用的必备装置,从原理上讲,它是一种典型的数字电路。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;主持人按开始按钮示意开始,以上两部分组成主体电路。通过定时电路实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。在抢答电路中利用一个优先编码器译出最先抢到答题权的选手的编号并经LED显示器显示出来,同时还要封锁电路以防其他选手再抢答。当选手答题完成后,主持人将系统恢复至零。 关键词:抢答;计时;锁存 一引言 (一)设计要求 1.设计一个智力抢答器,可同时供8名选手或8个代表队参加比赛. 他们的编号分别是1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

《PLC课程设计》(---九路抢答器)指导书

广东工贸职业技术学院 2010~2011学年第二学期 PLC课程设计指导书 题目:九路抢答(PLC可编程控制器应用) 课程名称《PLC及组态课程设计》专业电子信息适用年级 09级 班级 09电信班1、2 指导教师 _侯益坤、伍勤谟 · 一、课程设计的目的 PLC的课程设计是在完成本课程内容的课堂教学和实践之后进行的。目的是通过对一个实际应用课题的设计,初步掌握PLC控制系统的设计方法,从了解设计要求,运用所学知识并查阅有关技术资料进行系统设计,到模拟安装调试,然后整理有关技术资料,编写设计说明书,使学生得到一次系统的训练,从而对本课程理解更深刻,更清楚,更重要的是提高应用理论知识解决实际问题的能力。 课程设计应以培养学生的能力为主,要求学生在独立完成设计任务的同时,注意多方面能力的培养和提高,主要包括以下几方面: (1)综合运用专业及基础知识解决实际工程技术的能力。 (2)独立工作的能力和创造能力。 — (3)查阅技术资料和各种工具书的能力。 (4)工程绘图能力。

(5)撰写技术报告和编制技术资料的能力。 因此,在课程设计教学中,应以学生为主体,让其充分发挥自主性和创造性。教师的作用主要体现在工作方法的指导和思维方法的引导,以及设计技术把关上面。 二、系统方案设计要求说明 在很多竞赛活动中,经常用到抢答器。对抢答器的控制要求是:当多个输入信号输入时,抢答器只接收第一个到来的信号,而不接收后面到来的输入信号并使第一个到来的输入信号相应的灯或铃有反应。 本系统中设有9个抢答输入按钮、一个复位按钮、一个开始按钮,一个七段数码管,一个蜂鸣器,一个3S兰灯,一个5S黄灯,一个红色违规指示灯。 】 本系统可提供九个抢答台,在主持人的主持下,参赛人通过抢先按下按钮回答问题。 在抢答开始前,主持人应按下复位按钮使系统复位,做好抢答准备。 当主持人说开始,并同时按下开始按钮,抢答开始,并限定抢答时间为10s。 若抢答者在抢答开始前抢先输入,则属违规要显示该台台号,同时蜂鸣器以秒的周期响, 红色违规指示以1秒的周期闪烁,以便扣分惩罚。 若在开始之后到3s之内第一个按下抢答输入,由七段显示器显示该台台号,同时3s兰灯点亮、蜂鸣器声以秒的周期响。以便答对之后给予基本加分和对应兰灯点亮额外奖励加分。 若在3s之后到5s之内第一个按下抢答输入,由七段显示器显示该台台号,同时5s黄灯点亮、蜂鸣器声以秒的周期响。以便答对之后给予基本加分和对应黄灯点亮额外奖励加分。 若在5s之后10s之内第一个按下抢答输入,由七段显示器显示该台台号,蜂鸣器声以秒的周期响。以便答对给予基本加分

单片机实验8路抢答器C语言知识版

单片机综合实验报告 题目: 8路抢答器实验 班级: 姓名: 学号: 指导老师: 时间:

一、实验内容: 以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。本实验有Protues软件仿真。 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定为30秒。 当主持人启动“开始”键后,定时器进行减计时,同时绿色LED灯亮。 二、实验电路及功能说明 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统复位为止。复位后参赛队员可继续抢答。 如果定时时间已到,无人抢答,本次抢答无效,系统报警红色LED灯亮,并禁止抢答,定时显示器上显示00。

三、实验程序流程图: 主程序; 非法抢答序;抢答时间调整程序;回答时间调整程序;倒计时程序;正常抢答处理程序;犯规处理程序;显示及发声程序。主流程图如下图所示 子程序

四、实验结果分析 五、心得体会

六、程序清单 #include #define uchar unsigned char #define uint unsigned int sbit wela_a=P3^0; sbit wela1=P3^1; sbit wela2=P3^7; sbit rest=P3^5; sbit host=P3^6; sbit led1=P3^4;//绿灯 sbit led2=P3^3;//红灯 sbit led3=P3^2;//黄灯 sbit key1=P1^0; sbit key2=P1^1; sbit key3=P1^2; sbit key4=P1^3; sbit key5=P1^4; sbit key6=P1^5; sbit key7=P1^6; sbit key8=P1^7; uchar x,q,d,s,ge,t0,t1,start,flag; uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71}; void init();/*初始函数申明*/ void display(uchar s,uchar ge,uchar a); void delay(uint z); void keyscan(); void main() { init(); display(s,ge,a); while(1) { if(host==0) //主持人控制开关 { delay(5); if(host==0) { flag=1; start=1; delay(5); 延时 while(!host); 检测开关 } } if(rest==0) //复位 { delay(5); if(rest==0) { q=30; led2=1; led3=1; x=0; delay(5);

数字逻辑电路课程设计抢答器

西安邮电学院 数字电路课程设计报告书 ——数字抢答器 学院名称:电子工程学院 学生姓名: 专业名称: 班级: 实习时间: 数字电路课程设计 ------------数字抢答器 一、课程设计题目 数字式抢答器 二、设计任务和要求 1.抢答器同时可供4路参赛选手同时抢答,分别用4个按钮S0~S3来控制。

2. 设置一个主持人开关,用来控制抢答的开始和结束。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为10秒。当主持人启动"开始"键后,定时器采用倒计时计数到0。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,绿灯亮,数码管上显示选手的编号和剩余抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到(计数至0),有人抢答,则为超时抢答。红灯亮,并在数码管管上显示该抢答选手。 7.如果主持人未启动“开始”键,有人抢答,为提前抢答。显示其号码,此时红灯亮提示。 三、数字抢答器总体方案 1. 接通电源后,主持人将开关拨到"高电平"状态,抢答器处于禁止状态,编号显示器显示为0,定时器显示为0。此时, 若有人抢答, 为违规抢答数码管显示其编号, 并红灯警告.定时器显示不变。 2.主持人将开关置于”开始”状态,宣布"开始"抢答,抢答器工作, 定时器倒计时, 选手在定时时间内抢答时,抢答器完成, 编号锁存, 编号显示, 绿灯亮表示抢答有效。

3.若在10秒内无人抢答,10秒后抢答器自动锁定,计数器停止计数,抢答无效。如果再次抢答必须由主持人再次操作"开始"状态开关。 四、单元电路设计 1.抢答器电路的设计 (即完成锁存和显示功能) (1)抢答, 锁存电路: 在这一部分,最主要的是锁存电路,锁存电路主要由74LS75来实现,当74LS75的4,13号管角的信号为使能端,当为“0”时,它将保持原来的状态: 74LS75的管脚图和真值表: 有一组队员按下开关后,Q1,Q2,Q3,Q4中有一个信号为0,则它们四个通过与门后的信号为0,接入E12和E34,7475实现锁存功能,保持状态不变。 对于译码电路,当那个队员抢上后,要显示他的组号,必须把次信号转换为二进制代码。其关系为: 由上真值表知: A= ~Q0 + ~Q2; B= ~Q1+ ~Q2;

四人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号:11387121 指导老师:田丽娜

四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 简言之,有选手按下时,显示选手的编号。同时,其他人再按下时电路不做任何处理。也就是说,如果有选手按下以后,别的选手再按的话电路不会显示是他的编号。 4.可用555定时器产生频率为1H z的脉冲信号,作为触发器的CP信号。四.四人竞赛抢答器电路原理及设计

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

Quartus六路抢答器实验报告

抢答器实验报告 一、 抢答器的功能介绍: 1、 基本功能: (1) 抢答器同时供6名选手比赛,分别用6个按钮S0 ~ S5表示。 (2) 设置一个系统清除和抢答控制开关S ,该开关由主持人控制。 (3) 抢答器具有锁存与显示功能。即优先锁存抢答选手的编号,并显示在数码管上,扬声器发出音响提示。此时其它选手再按键无效。优先抢答选手的编号一直保持到主持人将系统清除为止。 → →→ 2、 扩展功能: (1) 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动“开始”键后,定时器进行减计时显示。主持人按“开始” 键前抢答给以警告,抢答选手的LED 闪烁 (2) 参赛选手在设定的时间内抢答后,定时器停止减计时。 (3) 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 二、抢答器总体方案设计及原理图; 1、总体方案图 (1)主持人有开始键和复位键,按下开始键后才能开始抢答,否则犯规。其他六个人设有六个按键。按键中加有除颤装置。

(2)抢答后选手的号码用数码管显示,正常抢答后显示抢到的选手号码,并有铃声响起,如果在主持人按开始键前有人抢答,则选手的指示灯会闪烁,并有报警音响起。 (3)如果10秒内没有抢答,则说明该题超时作废。 三、 1、键入模块 选 手 、 主持人未开始, 选手抢答,指示

(1)、除颤装置 除颤装置的原理是:在按键操作时,机械触点的弹性及电压跳动等原因。在触点闭合或开启的瞬间会出现电压的抖动,如果不进行处理就会造成误操作。按键去抖动的关键在于提取稳定的低电平状态。如果连续几次为低电平,可以认为信号已经处于稳定状态,此时输出一个低电平按键信号。即:当给与D触发器一个100hz的脉冲信号,选手按键后(为低电平)经过多个D触发器触发,最后输出稳定的低电平。(2)、总的键入装置

8路数字抢答器课程设计报告

学号: 课程设计 题目八路数字抢答器 学院信息工程学院 专业通信工程 班级 姓名 指导教师 2010年 7月 9日

课程设计任务书 题目:八路数字抢答器 起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。 要求完成的主要任务: 1.运用数字电路设计一个能够满足特定要求的八路抢答器 2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。 时间安排: 编号 任务时间 1 查资料、原理图设计3天 2 仿真电路并修改 3天 3 实物焊接与调试5天 4 报告撰写 2天 5 答辩1天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 课程设计任务书 (2) 摘要 (4) Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 3.1 总体方案设计 (7) 3.1.1 设计思路 (7) 3.1.2 总电路框图 (7) 3.2 各模块设计方案及原理说明 (8) 3.2.1 抢答电路 (8) 3.2.2 倒计时电路 (12) 四、电路仿真 (13) 4.1 抢答电路 (13) 4.2 倒计时电路 (15) 五、实验结果及分析 (17) 六、收获、体会和建议 (19) 附录 (21) 1.总电路图 (21) 2. 元件引脚图 (22) 3.元器件清单 (24) 主要参考文献 (25)

摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

抢答器电子课程设计

目录 综述............................................. 错误!未定义书签。1方案设计与分析................................. 错误!未定义书签。 1.1方案选择?错误!未定义书签。 1.2芯片组成 (2) 2电路设计框图及功能描述 ......................... 错误!未定义书签。3单元电路设计................................... 错误!未定义书签。 3.1按键识别电路?错误!未定义书签。 3.2显示电路..................................... 错误!未定义书签。 3.3声音提示电路................................. 错误!未定义书签。3.4脉冲发生电路?错误!未定义书签。 4整体电路及仿真................................. 错误!未定义书签。4.1电路原理.................................... 错误!未定义书签。4.2电路仿真.................................... 错误!未定义书签。4.2.1一号选手抢答............................. 错误!未定义书签。 4.2.2主持人复位................................. 错误!未定义书签。 4.2.3二号选手抢答............................... 错误!未定义书签。4.2.4三号选手抢答............................. 错误!未定义书签。 4.2.5互锁功能 (10) 心得体会....................................................... 11参考文献....................................................... 12

数字竞赛抢答器课程设计Verilog语言实现

数字竞赛抢答器课程设计Verilog语言实现

可编程器件与应用课程设计报告 姓名:XXX 学号:XXXXXXXXXX 专业班级:信息XXX 题目:数字式竞赛抢答器 指导老师:

一、绪论 背景: 随着电子技术的发展,可编程逻辑器件(PLD)的出现,使得电子系统的设计者利用EDA(电子设计自动化)软件,就可以独立设计自己的专用集成电路(ASIC)器件。可编程逻辑器件是一种半导体集成器件的半成品。在可编程逻辑器件的芯片中按一定方式(阵列形式或单元阵列形式)制作了大量的门、触发器等基本逻辑器件,对这些基本器件适当地连接,就可以完成某个电路或系统的功能。 数字式竞赛抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多,例如用传统的PCB板设计、用PIC 设计或者用单片机设计。而用Verilog可以更加快速、灵活地设计出符合各种要求的抢答器,优于其他设计方法,使设计过程达到高度自动化。本文介绍的4路数字式竞赛抢答器基于Verilog 语言、以EDA技术作为开发手段、采用CPLD (复杂的可编程逻辑器件)作为控制核心设计而成。与传统设计相比较,不仅简化了接口和控制,

也提高了系统的整体性能和工作可靠性,具有电路简单、成本低廉、操作方便、灵敏可靠等优点。意义: 数字式竞赛抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求。 二、实现方案 设计要求: 1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置5分,由主持人记分,答对一次加1分,答错一次减1分。

智力抢答器实验报告

单片机课程设计 实 验 报 告 班级:通信工程13-2班 学号:2013211576 姓名:陈立 指导老师:王琼 2016年6月20日~2016年7月4日

合肥工业大学课程设计任务书

设计课题智力竞赛抢答器 一课题背景 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。目前大多数抢答器均使用单片机及外围接口实现,基于单片机的智力竞赛抢答器系统框图如下图所示。 抢答开关电路分别设定选手抢答键若干个、主持人开始抢答键和复位键,每位选手对应一个抢答指示灯。系统工作后,首先由主持人按下开始键,单片机进入30秒倒计时,选手们开始抢答,如果在30秒内无人抢答,则自动放弃,进入下一题;若有人抢答,则单片机自动检测最先抢答选手信息并做出处理,封锁输入电路,禁止其他选手抢答,使选手对应的抢答指示灯点亮,LED显示器上同步显示抢答选手的编号,同时扬声器发声提示,表示抢答成功。此后,单片机进入90秒回答问题倒计时,若选手在90秒内回答完问题并回答正确,主持人按加分键,LED显示器上显示选手的得分;若选手回答完问题超时或回答错误,则主持人按减分键,LED显示器上显示选手的得分。主持人按下复位键,系统返回到抢答状态,进行下一轮抢答。 在主持人按下开始抢答键前,有选手提前按下抢答键时,视为抢答犯规,系统红色报警灯点亮,LED显示器显示超前抢答报警信息,同时扬声器发声提示抢答犯规。当有几位选手同时按下抢答键时,由于在时间上必定存在先后,系统将

自动锁存最先按键选手信号。 二课题设计要求 (1)基本要求 本课题硬件部分要求画出系统模块连接图,在实验平台上设计组成智力竞赛抢答器系统,并在其上调试自己设计、编制的程序,直到正确、完善达到要求为止。在软件程序设计方面,要完成以下基本内容:设计编写系统各模块的软件程序并调试通过,画出各程序模块的流程图。实现数据(选手编号)锁存和显示、超前抢答报警、抢答时间和回答问题时间倒记时显示、扬声器发生提示等基本功能。 (2)附加要求 附加要求则是根据学生学习单片机掌握和运用的情况选作,这给同学们开动脑筋发挥自己的创造性思维留出了空间。系统还可以增加以下功能: ①支持人可根据题目难易程度设置抢答限时时间、答题限时时间,而不是采用固定的抢答限时时间和答题限时时间。抢答限时时间和回答问题的时间设定在1~99秒内,通过键盘进行加减。 ②抢答限时倒计时和答题限时倒计时在达到最后5秒时进行声光报警,提示选手抢答剩余时间答题剩余时间。扬声器每秒响一次,红色发光二极管闪烁点亮,频率为0.5Hz。 ③抢答倒计时到达0时,报警,并锁定抢答开关禁止选手抢答。 ④增加计分功能,当答题结束后,根据选手的答题情况给选手进行相应的加减分;查询功能,实现每位选手的分值查询。 本次课题设计完成以上全部基本要求和附加要求,符合单片机课程设计的基本要求,并在此基础上额外实现了按键时响铃提示功能,具体要求实现以及操作见报告后半部分。

数电课程设计报告《八路数字抢答器》

数字电路课程设计 数字抢答器 设计报告 姓名: 学号: 专业:电子信息工程系 指导教师: 2012年04 月18 日

八路数字抢答器 一、设计目的及任务 1.设计的目的 通过八路数字抢答器的设计实验,要求大家回顾所学数字电子技术的基础理论和基础实验,掌握组合电路、时序电路、编程器件和任意集成电路的综合使用及设计方法,熟悉掌握优先编码器、触发器、计数器、单脉冲触发器、555电路、译码/驱动电路的应用方法,熟悉掌握时序电路的设计方法。达到数字实验课程大纲所要求掌握的基本内容。 2.设计的任务及要求 (1)基本功能 ①设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参赛,他们的选号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号对应分别是S0、S1、S2、S3、S4、S5、S6、S7。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持主持人将系统清零为止。(2)扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目支持人启动“开始”键后,要求定时器立即减计时,并用显示器显示。 ②参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 二、电路设计总方案及原理框图 1. 设计思路 (1)本题的根本任务是准确判断出第一抢答者的信号并将其锁存。实现这一功能可选择使用触发器或锁存器等。在得到第一信号之后应立即将电路的输入封锁,即使其他组的抢答信号无效。同时还必须注意,第一抢答信号应该在主持人发出抢答命令之后才有效。

电子信息工程路抢答器实验报告

《课程设计报告》 8路抢答器 班级: 姓名: 学号: 指导教师:

目录 一、任务与要求 (2) 1、基本功能 (3) 2、扩展功能 (3) 二、8路抢答器工作原理 (4) 1、电源部分 (4) 2、抢答器部分 (4) 3、定时部分 (6) 4、时序控制报警部分 (7) 5、计分部分 (9) 三、系统总原理图 (11) 四、收获体会 (11) 一、任务与要求

1、基本功能 ●抢答器同时供8名选手,分别用8个按钮S0 ~ S7表示。 ●设置一个系统清除和抢答控制开关S,该开关由主持人控制。 ●抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的 编号,扬声器发出声响提示,并在七段数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 2、扩展功能 ●抢答器具有定时抢答功能,且一次抢答的时间由主持人设 定(如20秒)。当主持人启动"开始"键后,定时器进行减 计时。如果定时时间已到,无人抢答,本次抢答无效,系 统报警并禁止抢答,定时显示器上显示00。 ●设置一个计分电路,具有加减分功能,加减分以10分为 基准,并在数码管上显示得分情况。

二、8路抢答器工作原理 1、电源部分 (1)、原理 输入交流220V通过电压变压器,再通过桥式整流电路和极性电容滤波,在固定式三端稳压器的Vin和GND两端形成一个并不十分稳定的直流电压(该电压常常会因为市电电压的波动或负载的变化等原因而发生变化)。此直流电压经过三端稳压器的稳压和其后的极性电容滤波便在稳压电源的输出端产生了精度高、稳定度好的直流输出电压。 (2)、原理图 2、抢答器部分 (1)、原理

数字抢答器课程设计

数字抢答器 摘要 当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器。因此抢答器是机关学校、电视台等单位开展智力竞赛活动必不可少的设备,通过抢答者的按键、数码显示等能准确、公正、直观地判断出优先抢答者。本产品采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,由数字电路以及外围电路组成,分为八路抢答;在抢答同时附有声音输出接口,提示主持人此时已完成这次的抢答。不仅如此,为了方便不同场合的智力竞赛活动,为需要定时答题者提供可调计时器,无需人工参与。与其他抢答器电路相比较有分辨时间极短、结构清晰、成本低、易操作、制作方便等优点。 关键词:竞赛活动、抢答、锁存、复位、可调倒计时

目录 1 引言 (3) 2 设计目的 (3) 3 设计指标及要求 (3) 4 总体框图设计与论证 (4) 5 功能模块设计及系统工作分析 (5) 5.1功能模块设计 (5) 5.2 系统工作原理分析 (6) 5.3主要元器件功能介绍 (7) 5.3.1锁存器(74HC573) (7) 5.3.2优先编码器(74LS147) (8) 5.3.3计数器(74LS192) (9) 5.3.4显示译码器(CD4511、74LS48) (10) 6 实验器材清单 (13) 7 设计步骤及各功能电路调试 (14) 7.1 仿真 (15) 7.2 调试锁存器电路 (15) 7.3 调试编码与译码显示电路 (16) 7.4调试控制电路 (16) 7.5秒脉冲 (16) 7.6调时电路 (17) 8 心得体会 (17) 谢辞 (19) 参考文献 (20) 附录A: (21) 附录B: (22)

路抢答器实验报告

系别:电子工程系 班级:电子101 学号:23 姓名:李光杰 指导老师:佘明辉2011年6月23日星期四

八路智力竞赛抢答器设计 一.实验目的 掌握抢答器的工作原理及其设计方法。 学会用Multisim8软件操作实验内容。 掌握设计性试验的实验方法 二.实验要求 八路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 三.实验原理 根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮

相关文档
最新文档