波形发生器毕业设计论文

波形发生器毕业设计论文
波形发生器毕业设计论文

绪论

波形发生器是一种广泛应用于电子电路,自动控制和科学实验等领域的信号源。比如电参量的测量、雷达、通信、电子对抗与电子系统、宇航和严控遥测技术等等,从某种意义上说高品质信号源更是实现高性能指标的关键,很多现代电子设备和系统的功能都直接依赖于所用信号源的性能,因此,高品质信号源被人们喻为众多电子系统的“心脏”。随着通信、雷达的不断发展,多信号源的频率稳定度、频谱纯度、频率范围和输出频率的个数以及信号波形的形状提出越来越多的要求。为了提高信号源输出频率稳定度,可以采用晶体振荡器等方法来解决。为了满足频率个数多的要求,可以采用频率合成技术,即通过对频率进行加减乘除运算,可从一个高稳定度和高精确度的标准频率源,产生大量的具有同一稳定度和精确度的不同频率。

运用DDS技术是设计波形发生器的一种通用手段,DDS不仅可以产生正弦波同时也可以产生任意波,这是其他频率合成方式所不具有的特点,任意波在各个领域有着广泛的应用。通过DDS这种方法产生任意波是一种简单,低成本的方法,通过增加波形点数可以使输出达到很高的精度,这都是其他方法所无法比拟的。

自80年代以来各国都在研制DDS产品,并广泛应用于各个领域。其中以AD公司的产品比较有代表性。如AD7008、AD9850、AD9851、AD9852、AD9858等。其系统时钟频率从30MHz到300MHz不等,其中的AD9858系统时钟更是达到了lGHz。这些芯片还具有调制功能。如AD7008可以产生正交调制信号,而AD9852也可以产生FSK、PSK、线性调频以及幅度调制的信号。这些芯片集成度高,内部都集成了D/A转换器,精度最高可达12bit。同时都采用了一些优化设计来提高性能。如这些芯片中大多采用了流水技术,通过流水技术的使用,提高了相位累加器的工作频率,从而使得DDS芯片的输出频率可以进一步提高。通过运用流水技术在保证相位累加器工作频率的前提下,相位累加器的字长可以设计得更长,如AD9852的相位累加器达到了48位。而不是之前型号的32位,这样输出信号的频率分辨率大大提高了。

运用DDS技术生产的DDS任意波型信号发生器是较新的一类信号源,并且已经广泛投入使用。它不仅能产生传统函数信号发生器能产生的正弦波、方波、

三角波、锯齿波,还可以产生任意编辑的波形。由于DDS的自身特点,还可以很容易的产生一些数字调制信号,如FSK、PSK等。一些高端的信号发生器甚至可以产生通讯信号。同时输出波形的频率分辨率、频率精度等指标也有很大的提高。如HP公司的HP33120可以产生lOmHz-15MHz的正弦波和方波,同时还可以产生lOmHz-5MHz的任意波形,还具备调制功能,可以产生AM、FM、FSK、猝发、扫频等信号。HP公司的HP33250可以产生1uHz-80MHz的正弦波和方波,产生1uHz到25MHz的任意波形。BK PRECISION公司的4070A型函数级任意波形发生器产生的正弦波和方波输出频率DC-21.5MHz,频率分辨率10mHZ。同时还具有AM、FM、PM、SSB、BPSK、FSK、猝发、DTMFGeneration和DTMFDetection的功能。并且具有了和PC机良好的接口,可以通过WINDOWS 界面的程序进行任意波形的编辑。

除了在仪器中的应用外,DDS在通信系统和雷达系统中也有很重要的用途。通过DDS可以比较容易的产生一些通信中常用的调制信号如:频移键控(FSK)、二进制相移键控(BPSK)和正交相移键控(QPSK)等。DDS可以产生两路相位严格正交的信号,在正交调制和解调中的到广泛应用,是一种很好的本振源。

此外随着集成电路制造工艺的逐步提高,通过采用先进的工艺和低功耗的设计,数字集成电路的工作速度已经有了很大的提高。现在最新的DDS芯片工作频率已经可以达到1GHz,这样就可以产生频带比较宽的输出信号了。

为了进一步提高DDS的输出频率,产生了很多DDS与其他技术结合的频率合成方法。如当输出信号是高频窄带信号的时候可以用混频滤波的方法扩展DDS 的输出,也可以利用DDS的频谱特性来产生高频信号,如利用较高的镜像频率输出。可见,基于DDS技术的波形发生器能实现高稳定度、高精度、高分辨率的波形输出,具有频率切换速度快、体积小、价格便宜的特点,是一种很有发展前途的信号源。所以本设计采用此方案。

近年来,随着可编程逻辑器件(FPGA、CPLD等)的广泛应用,功能的不断强大,以及快速算法的不断出现,任意波形发生器也向自动化、数字化、高精度化方向发展。早期的DDS系统使用分离的数字器件搭接,随着整个电路系统运行频率的提高,采用分离器件构建的DDS电路有其自身无法克服的缺点,主要表现在电磁兼容和系统工作频率上。后来出现的专用DDS芯片极大的推动了DDS

技术的发展,但专用DDS芯片价格昂贵,且无法实现任意波形输出,而CPLD 及FPGA的发展为实现DDS提供了更好的技术手段。

FPGA(Field Programmable Gate Array)是目前广泛采用的一种可编程器件,它的应用不仅使数字电路系统的设计非常方便,并且还大大缩短了系统研制的周期,缩小了数字电路系统的体积和所用芯片的品种,它的时钟频率可达到几百兆赫兹,加上它的灵活性和高可靠性,非常适合用于实现波形发生器的数字电路部分。

Summary

Waveform generator is a widely used in electronic circuits, automatic control and scientific experiments in areas such as the signal source. For example, the measurement of electrical parameters, radar, communications, electronic warfare and electronic systems, aerospace and strictly controlling telemetry, etc., in a sense of high-quality signal source is the key to the achievement of performance indicators, The features of many modern electronic devices and systems are directly dependent on the signal source that used in the performance , Therefore, high-quality signal source was described as a large number of electronic systems "heart."

With communication, the continuous development of radar, multi-signal sources, frequency stability, spectral purity, frequency range and the number of output frequencies, as well as the shape of the signal waveform to a growing number of requests. In order to improve the signal source output frequency stability, such as crystal oscillator can be used to resolve this issue. In order to meet the requirements of multi-frequency number, frequency synthesis technology can be used, that is, addition and subtraction through multiplication and division of the frequency of operation can be seen from a high stability and high accuracy of the standard frequency source, resulting in a large number of have the same stability and accuracy of different frequencies.

The use of DDS technology is the design of a common waveform generator means, DDS not only can produce sine wave at the same time can generate arbitrary wave, this is the manner in which the other frequency synthesizer does not have the characteristics of any wave in all fields have a wide range of applications. Through the DDS arbitrary wave of such methods is a simple, low-cost way to increase the output waveform points can be achieving a high degree of accuracy, by other means this is second to none.

Since the 80's from all countries in the development of DDS products, and are widely used in various fields. AD in which the company's products more representative. Such as the AD7008, AD9850, AD9851, AD9852, AD9858 and so on.

The system clock frequency ranging from 30MHz to 300MHz, in which the system clock of the AD9858 is reached lGHz. The chips also have the modem function. Such as the AD7008 quadrature modulation signal can be generated, while the AD9852 can also be generated FSK, PSK, amplitude modulation and linear frequency modulation signal. These highly integrated chips, are integrated within the D / A converter, the accuracy of up to 12bit. At the same time have adopted a number of optimization designed to improve performance. because these chips are mostly used in water technology, water technology through the use of the phase accumulator to increase the operating frequency, allowing the output frequency of DDS chip can be further improved. Water through the use of technology in the phase accumulator to ensure that the premise of the operating frequency, the phase accumulator word length can be designed for longer, such as the AD9852 has reached the phase accumulator 48. Models rather than 32 before, so that the output signal of the frequency resolution significantly improved.

The use of DDS technology to produce the DDS arbitrary waveform signal generator is a relatively new type of signal source, and has been widely put into use. It is not only capable of producing the traditional function of the signal generator can produce sine, square, triangle wave, sawtooth wave, but also can generate arbitrary waveform editor. Due to the characteristics of the DDS can also be very easy to produce some digital modulation signals, such as FSK, PSK, etc.. Some high-end signal generator can even have a communication signal. At the same time, the frequency resolution of the output waveform, frequency and other indicators of accuracy are greatly improved. HP33120 companies such as HP can generate the lOmHz-15MHz sine and square wave, but also can produce lOmHz-5MHz of arbitrary waveform, but also have the modulation function, can be AM, FM, FSK, Burst, Sweep signal. HP's HP33250 can have the 1uHz-80MHz sine and square wave, resulting in the 1uHz to 25MHz arbitrary waveform. BK PRECISION company level 4070A Arbitrary Waveform function generator sine wave and square wave output frequency DC-21.5MHz, frequency resolution 10mHZ. At the same time, also has AM, FM, PM, SSB, BPSK, FSK, Burst, DTMFGeneration and DTMFDetection

function. And have a good machine and the PC interface, the interface can process WINDOWS arbitrary waveform editor.

In addition to the application of instruments, DDS in communications systems and radar systems are also very important purposes. DDS can be more easily adopted by some of the commonly used modulation communication signal, such as: frequency shift keying (FSK), binary phase shift keying (BPSK) and Quadrature Phase Shift Keying (QPSK) and so on. DDS can generate two quadrature phase signal strictly in the quadrature modulator and demodulator in a wide range of applications, this is a good local oscillator.

In addition with the integrated circuit manufacturing process of gradual improvement through the use of advanced technology and low-power design, digital integrated circuits have a speed greatly improved. Now, its latest operating frequency of the DDS chip can reach 1GHz, so that could have a relatively wide frequency band of the output signal.

To further enhance the DDS output frequency, resulting in a lot of DDS technology and other methods of frequency synthesis. Such as when the output signal is a high-frequency narrow-band signal can be used when the method of filtering expansion mixer output DDS, the DDS can also be used to generate high-frequency spectral characteristics of signals, such as the use of high frequency output of the mirror. Can be seen, based on the DDS waveform generator technology to achieve high stability, high accuracy, high-resolution output of the waveform, with frequency switching speed, small size, the characteristics of prices is a very promising signal source. Therefore, the design of this program.

In recent years, with programmable logic device (FPGA, CPLD, etc.) a wide range of applications, functions from strength to strength, as well as the continual emergence of fast algorithm, arbitrary waveform generator to the automatic, digital, high-precision of direction. DDS system, the use of early separation of overlapping digital devices, with an operating frequency of the entire circuit system improvement of the separation device used to build the DDS circuit has its own can not overcome the shortcomings, mainly in the electromagnetic compatibility and

system frequency. Later, there dedicated DDS chip greatly promoted the development of DDS technology, but dedicated DDS chip is expensive, and can not achieve the arbitrary waveform output, and the CPLD and FPGA development for the realization of DDS technology to provide a better means.

FPGA (Field Programmable Gate Array) is widely used as a programmable device, which not only makes the application of digital circuit design is very convenient, but also greatly shorten the system development cycle, reducing the size of the digital circuit system and varieties used in the chip, its clock frequency can reach several hundreds Hz, together with its flexibility and high reliability, very suitable for the realization of the digital waveform generator circuit part.

1 波形发生器的实现方式

波形发生器在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为波形发生器。波形发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。

波形发生器的实现方案主要有程序控制输出、可变时钟计数寻址和直接数字频率合成等多种方式。

1.1程序控制输出方式

计算机根据波形的函数表达式,计算出一系列波形数据瞬时值,并定时地逐个传送给D/A转换器,合成出所需要的波形。这种方式具有电路简单、实现方便等特点。但数据输出定时不准确,会影响信号的频率和相位;波形数据输出依靠指令的执行来完成,当需要同时输出多个信号时,相邻信号通道的输出存在时间差;受计算机运行速度的限制,输出信号的频率较低。

1.2可变时钟计数器寻址方式

采用可变时钟计数器寻址波形存储器表,该方法是一种传统型任意波形发生器。原理框图如图1-1所示。

图1-1 可变时钟计数器寻址的波形发生器

图中的计数器实际上是一个地址发生器,计数器的触发时钟脉冲由一个频率可以控制的频率发生器产生,通过改变频率发生器的频率设置值,实现调整计数器生的地址变化速率,从而改变输出的任意波形的频率。计数器产生的地址码提供出存储器中波形数据所需要的地址信号,波形数据被依次读出后送至高速D/A转换器,将之转变为模拟量,经低通滤波器后输出所需的波形。

可见传统的任意波形发生器采用可变时钟和计数器寻址波形存储器表,此方法的优点是产生的地址连续,输出波形质量高。但其取样时频率较高,对硬件的要求也较高,而且常需多级分频或采用高性能的锁相环,其中分频式的任意波形发生器频率分辨率低,锁相式的任意波形发生器频率切换速度慢。

1.3 直接数字频率合成方式

DDS(direct digital synthesizer)是在一组存储器单元中按照信号波形数据点的输出次序存储了将要输出波形的数据,在控制电路的协调控制下,以一定的速率,周而复始地将波形数据依次发送给D/A转换器转换成相应的模拟信号。由于用硬件电路取代了计算机的控制,信号输出稳定度高。如需更新输出信号,不必改动任何线路和元器件,只需改写存储器中的波形数据即可。更主要的是,可以将微处理器从信号输出的负担中解脱出来.如图1-2为其工作流程图。

图1-2 直接数字合成方式的波形发生器

2 直接数字频率合成器的原理及性能

2.1频率合成器简介

2.1.1频率合成技术概述

所谓频率合成法就是指从一个高稳定和准确的参考频率源,经过技术处理,生成大量离散的频率输出。频率合成技术是产生频率源的一种现代化手段,已广泛应用于通信、导航、电子侦察、干扰与反干扰、遥控遥测及现代化仪器仪表中。利用频率合成技术制成的信号发生器,通常被称为频率合成器。频率合成器既要产生所需要的频率,又要获得纯净的信号。从频率合成所采用的技术来看,频率合成的方法大致可分为直接合成和间接合成以及直接数字合成三种。

直接合成(DirectFrequencySynthesis,简称DS)是通过倍频器、分频器、混频器对频率进行加、减、乘、除运算,得到各种所需频率。直接频率合成方法具有频率转换时间短、近载频相位噪声性能好等优点,但是由于大量的倍频,混频等电路,就要有不少滤波电路,使合成器的设备结构十分复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。而且输出端的谐波、噪声及寄生频率难以抑制。此方法只能产生标准波形,不能产生任意波形。

间接合成(IndirectFrequencySynthesis,简称IS)又称锁相频率合成PhaseLockedLoop Frequency Synthesis,简称PLLFS),是利用锁相环路的窄带跟踪特性来得到不同的频率。锁相频率合成器能提供长期频率稳定度与短期频率稳定度都比较高且杂波少的信号输出。目前在各种无线电台中使用的频率合成器普遍采用可变数字式锁相环频率合成器,通过CPU控制可获得不同的频点。数字式频率合成器能提供长期频率稳定度与短期频率稳定度都比较高且杂波少的信号输出,特点是波道数目多、体积小、易于数字化和集成化。但锁相频率合成器频率转换时间较长,且合成的正弦波的参数,如幅度、频率和相位较难控制。数字锁相环构成的数字式频率合成器是目前通信、仪表、雷达等电子技术中广泛应用的一种频率合成技术。锁相环式频率合成器具有很好的窄带跟踪特性,可以很好地选择所需频率的信号,抑制杂散分量,并且避免了大量的滤波器,有利于集成化和小型化。但由于锁相环本身是个惰性环节,锁定时间较长,故频率转换时间较长。除此之外,由模拟方法合成的正弦波的参数,如幅度、频率和相位都很

难控制。同样,此方法不能产生任意波形。

直接数字式频率合成(DirectDigitalFrequencySynthesis,简称DDS或DDFS)是近年来发展起来的新的频率合成技术。它将先进的数字处理理论与方法引入信号合成领域,标志着第三代频率合成技术的出现。此方法是用随机读写存储器RAM存储一个波形周期的量化数据,按照不同频率要求以频率控制字为步进对相位增量进行累加,以累加相位值作为地址码读取存放在存储器内的波形数据,经D/A转换和滤波可得所需波形输出。通过改变频率控制字可以很方便地改变输出频率,通过更新存储器的波形数据可以得到不同的波形输出,即可实现任意波形输出。基于DDS技术的频率合成器有很高的频率分辨率,可方便地实现频率、相位调制功能,转换速度快,且输出波形的相位连续。已广泛用于接收机本振、信号发生器、通信系统、雷达系统等,特别是跳频通信系统。

2.1.2频率合成器主要指标

信号源的一个重要指标就是能输出频率准确可调的所需信号。一般传统的信号发生器采用谐振法,即用具有频率选择性的正反馈回路来产生正弦振荡,获得所需频率信号,但难以产生大量的具有同一稳定度和准确度的不同频率。利用频率合成技术制成的信号发生器,通常被称为频率合成器。频率合成器既要产生所需要的频率,又要获得纯净的信号。频率合成器的主要指标如下:

1.输出频率的范围:指的是输出的最小频率和最大频率之间的变化范围。

2.频率稳定度:指的是输出频率在一定时间间隔内和标准频率偏差的数值,它分长期、短期和瞬时稳定度三种。

3.频率分辨率:指的是输出频率的最小间隔。

4.频率转换时间:指的是输出由一种频率转换成另一种频率的时间。

5.频谱纯度:频谱纯度以杂散分量和相位噪声来衡量,杂散分量为谐波分量和非谐波分量两种,主要由频率合成过程中的非线性失真产生,相位噪声是衡量输出信号相位抖动大小的参数。

6.调制性能:指的是频率合成器是否具有调幅(AM)、调频(FM)、调相(PM)

等功能。

2.2 DDS的基本原理及性能特点

直接数字频率合成(Direct Digital Synthesis,简称DDS)技术是频率合成领域

中的一项新技术。DDS 的设计思想完全是基于数值计算信号波形的抽样值来实现频率合成的。

DDS 的工作原理是基于相位和幅度的对应关系,通过改变频率控制字来改变 相位累加器的累加速度,然后在固定时钟的控制下取样,取样得到的相位值通过相位幅度转换得到与相位值对应的幅度序列,幅度序列通过数模转换得到模拟形式量化的正弦波输出。

图2-1是DDS 的结构原理。

图2-1 DDS 的结构原理

参考时钟源f c 频

控制

K

其中相位累加器字长为N,DDS控制时钟频率为fc,频率控制字为K。DDS 直接从“相位”的概念出发进行频率合成。相位累加器由加法器与累加寄存器级联构成。每来一个时钟脉冲fc,加法器将频率控制字K与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。累加寄存器将加法器在上一个时钟脉冲作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位累加。由此可以看出,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,相位累加器输出的数据就是合信号的相位,相位累加器的溢出频率就是DDS输出的信号频率。

DDS的核心就是相位累加器,利用它来产生信号递增的相位信息,整个DDS 系统在统一的参考时钟下工作,每个时钟周期相位累加器作加法运算一次。加法运算的步进越大,相应合成的相位值变化越快,输出信号的频率也就越高。对于幅值归一化的正弦波信号的瞬时幅值完全由瞬时相位来决定,因为ω=dφ(t) /dt,所以相位变化越快,信号的频率越高。ROM表完成将累加器相位信息转换为幅值信息的功能。再由D/A完成数字抽样信号到连续时域信号的转换,D/A输出的台阶信号再经低通滤波器平滑以得到精确的连续正弦信号波形。

相位累加器利用Nbit二进制加法器的模溢出特性来模拟理想正弦波的2π相位周期。相位累加器输出和ROM输出可分别理解为理想正弦波相位信号和时域波形的时钟抽样。

用相位累加器输出的数据作为波形存储器的相位取样地址,这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。

图2-2是DDS各点输出信号

相位累加器字长为N,DDS控制时钟频率为f c,时钟周期为T c=1/f c,频率控制字为K。系统工作时,累加器的单个时钟周期的增量值为Δψ=K*2π/2N,相应角频率为ω=Δψ/Δt=Δψ/T c =2π*K *f c/2N,所以DDS的输出频率为f DDS =ω/2π= K *f c/2N,DDS输出的频率步进间隔Δf DDS= f c/2N。因DDS输出信号是对正弦波的抽样合成,所以应满足Niqust定理的要求,即f DDS≤f c/2,也就是要求K≤2N-1,根据频谱性能的要求,一般取f DDS≤0.4f c。

当DDS相位累加器采用32位字长,时钟频率为30MHz时,它的输出频率间隔可达到Δf DDS =f c/2N =50*106≈0.01Hz=10mHz。可见,DDS的基于累加器相位控制方式给它带来了微步进的优势。

DDS频率合成器具有以下优点:(1)频率分辨率高,输出频点多,可达2N 个频点(假设DDS相位累加器的字长是N);(2)频率切换速度快,可达us量级;

(3)频率切换时相位连续;(4)可以输出宽带正交信号;(5)输出相位噪声低,对参考频率源的相位噪声有改善作用:(6)可以产生任意波形:(7)全数字化实现,便于集成,体积小,重量轻。

但DDS也有比较明显的缺点:(1)输出信号的杂散比较大,(2)输出信号的带宽受到限制。DDS输出杂散比较大这是由于信号合成过程中的相位截断误差、D /A转换器的截断误差和D/A转换器的非线性造成的。当然随着技术的发展这些问题正在逐步的到解决。如通过增长波形ROM的长度以减小相位截断误差;通过增加波形ROM的字长和D/A转换器的精度以减小D/A量化误差等。在比较新的DDS芯片中普遍都采用了12bit的D/A转换器。当然一味靠增加波形ROM的深度和字长的方法来减小杂散对性能的提高总是有限的。已有研究在对DDS输出的频谱做了大量的分析以后,总结出了误差的频域分布规律建立了误差模型,在分析DDS频谱特性的基础上又提出了一些降低杂散功率的方法:可

以通过采样的方法降低带内误差功率,可以用随机抖动法提高无杂散动态范围,在D/A转换器的低位上加扰打破DDS输出的周期性,从而把周期性的杂散分量打散使之均匀化。

2.3专用DDS芯片电路

DDS专用芯片电路广泛的应用于各个领域。其中以AD公司的产品比较有代表性。如AD7008、AD9850、AD985l、AD9852、AD9858等。其系统时钟频率从30MHz到300MHz不等,其中的AD9858系统时钟更是达到了lGHz。这些芯片还具有调制功能。如AD7008可以产生正交调制信号,而AD9852也可以产生FSK、PSK、线性调频以及幅度调制的信号。这些芯片集成度高,内部都集成了D/A转换器,精度最高可达12bit。同时都采用了一些优化设计来提高性能。如这些芯片中大多采用了流水技术,通过流水技术的使用,提高了相位累加器的工作频率,从而使得DDS芯片的输出频率可以进一步提高。通过运用流水技术在保证相位累加器工作频率的前提下,相位累加器的字长可以设计得更长,如AD9852的相位累加器达到了48位,大大提高了输出信号的频率分辨率。由于DDS的周期性,输出杂散频谱往往表现为离散谱线,而这些芯片大多采用了随机抖动技术使离散谱线均匀化,从而提高输出频谱的无杂散动态范围。

2.3.1 AD9850的结构及功能

AD9850是AD公司采用先进的DDS技术,1996年推出的高集成度DDS频率合成器,它内部包括可编程DDS系统、高性能DAC及高速比较器,能实现全数字编程控制的频率合成器和时钟发生器。接上精密时钟源,AD9850可产生一个频谱纯净、频率和相位都可编程控制的模拟正弦波输出。此正弦波可直接用作频率信号源或转换成方波用作时钟输出。AD9850接口控制简单,可以用8位并行口或串行口直接输入频率、相位等控制数据。32位频率控制字,在125MHz 时钟下,输出频率分辨率达0.029Hz。先进的CMOS工艺使AD9850不仅性能指标一流,而且功耗少,在3.3V供电时,功耗仅为155mW。

3 基于FPGA的波形发生器设计

3.1现场可编程门阵列(FPGA)简介

FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足又克服了原有可编程器件门电路数有限的缺点。

FPGA采用了逻辑单元数组LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB (InpuOutput Block)和内部联机(Interconnect)三个部分。

FPGA的基本特点主要有:(1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。(2)FPGA可做其它全定制或半定制ASIC电路的中试样片。(3)FPGA内部有丰富的触发器和I/O引脚。(4)FPGA是ASIC 电路中设计周期最短开发费用最低、风险最小的器件之一。(5)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

因此,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

FPGA具有静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改。在FPGA实际应用中,设计的保密和设计的可升级是十分重要的、用单片机来配置FPGA可以很好地解决上述问题。用单片机配置FPGA器件时,关键在于产生合适的时序。单片机可选用常用的如MCS51系列、MCS9系列、A VR系列等均可。

ALTERA FLEX10K系列FPGA,规模从一万门到十万门,可提供720~5392个触发器及6144~24576位RAM,提供30ns、40ns及50ns等几个速率等级,可适应18~105MHz的信号处理速率。ALTERA FLEX10K系列FPGA主要由输入输出单元IOE、掩埋数组EAB、逻辑数组LAB及内部联机组成。EAB是在输入和输出埠加有寄存器的RAM块,其容量可灵活变化。所以,EAB不仅可以用于内存,还可以事先写入查表值来用它构成如乘法器、纠错逻辑等电路。当用于RAM 时,EAB可配制成多种形式的字宽和容量。Altera公司FPGA器件Cyclone-II列

的组成主要包括:(1)逻辑数组,由多个逻辑数组块(Logic Array Blocks,LABs)排列而成,用于实现大部分逻辑功能;(2)在芯片四周分布着可编程的输入输出单元(Input/OutputElements,IOEs),提供封装引脚与内部逻辑之间的连接接口;(3)丰富的多层互连结构的可编程联机;(4)片上的随机存取块状RAM;(5)锁相环(PLL),用于时钟的锁定与同步、能够实现时钟的倍频和分频;(6)高速的硬件乘法器,有助于实现高性能的DSP功能。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM 即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用灵活。

FPGA的编程技术。目前有三种基本的FPGA编程技术:SRAM、反熔丝、Flash。其中,SRAM是迄今为止应用范围最广的架构,主要因为它速度快且具有可重编程能力,而反熔丝FPGA只具有一次可编程(One Time Programmable,OTP)能力。基于Flash的FPGA是FPGA领域比较新的技术,也能提供可重编程功能。基于SRAM的FPGA器件经常带来一些其它的成本,包括:启动PROMS 支持安全和保密应用的备用电池等等。基于Flash和反熔丝的FPGA没有这些隐含成本,因此可保证较低的总系统成本。

3.2 MAX+PlusⅡ开发软件和VHDL硬件描述语言

3.2.1 MAX+PlusⅡ开发软件简介

MAX+PlusⅡ开发软件是美国Altera公司自行设计的第三代可编程逻辑器件的EDA开发工具,它是一种与器件结构无关的集成设计环境,提供了灵活和高效的界面,允许设计人员选择各种设计输入方法和工具,能够支持Altera 公司的MAX、Classic、FLEX及ACEX系列的PLD器件。MAX+PlusⅡ开发软

件丰富的图形界面和完整的、可即使访问的在线帮助文档,使设计人员能够轻松愉快的学习和掌握使用方法,方便地实现设计目的。

MAX+PlusⅡ开发软件支持多种设计文件的输入,所能接受的设计文件包括原理图设计文件,硬件描述语言设计文件,波形图设计文件,以及第三方EDA 工具提供的EDIF文件等。设计人员可以采用原理图、硬件描述语言和波形图等文件,来描述用户的设计意图,实现电子系统的设计。以下介绍三种输入方法:

(1)原理图输入:这是一种最直观的输入方法,是通过绘制原理图来描述用户所设计的系统。这种方法看起来非常直观,易于电路的调整及观察。

(2)硬件描述语言输入:这是通过MAX+PlusⅡ开发软件中的文本编辑器进行的,它支持AHDL、VHDL、和Verilog HDL等多种硬件描述语言。

(3)波形图输入:这是在MAX+PlusⅡ开发软件提供的波形图编辑器中进行的,设计人员通过编辑输入和输出节点的波形来创建一个波形图设计文件,用波形图来描述所设计系统的逻辑功能。

3.2.2 VHDL硬件描述语言

VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware

Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。是电子系统硬件行为描述、结构描述、数据流描述的语言,目前利用硬件描述语言可以进行数字电子系统的设计。

VHDL主要用于描述数字系统的结构、行为、功能和接口。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本特点。

以硬件描述语言表达设计意图、FPGA作为硬件载体、计算机为设计开发工具、EDA软件作为开发环境的现代电子设计方法日趋成熟。

VHDL语言的程序结构。一个VHDL程序包含实体(Entity)、结构体(Architecture)、配置(Configuration)、包集合(Package)、库(Library)5个部分。

其实实体是一个VHDL程序的基本单元,由实体说明和结构体两部分组成。实体说明用于描述设计系统的外部接口信号,结构体用于描述系统的行为、系统数据的流程或者系统组织结构形式。配置用于从库中选取所需单元来组成系统设计的不同规格的不同版本,使被设计系统的功能发生变化。包集合存放各设计模块能共享的数据类型、常数、子程序等。

库用于存放已编译的实体、结构体、包集合、配置。库有两种,一种是用户自行生成的IP库,有些集成电路设计中心开发了大量的工程软件,有不少好的设计范例,可以重复引用,所以用户自行建库的专业EDA公司的重要任务之一。另一类是PLD,ASIC芯片制造商提供的库。比如常用的74系列芯片,RAM,ROM控制器,Counter计数器等标准模块。用户可以直接引用,而不必从头编写。

图3-1 VHDL程序设计构成

VHDL程序设计构成如图3-1所示。设计实体是VHDL程序的基本单元,是最重要的电子系统抽象。它可以代表整个电子系统、一块电路板或一枚芯片,简单的可以是一个与门电路,复杂的可以是一个微处理器或一个数字电子系统。一个实体由实体说明和结构体说明两部分组成。

VHDL程序由两部分组成:第一部分为实体说明,第二部分为结构体。VHDL 程序结构更抽象、更基本、更简练的表示。设计实体由关键字Entity来标识,结构由Architecture来标识。一个电路系统的程序设计只有一个实体,可以有多个结构体。系统设计中的实体提供该设计系统的公共信息,结构体定义各个模块内

的操作特性。一个设计实体至少包含一个结构体或多个结构体,构成一个电子系统的设计模型。

3.3波形发生器的FPGA实现

早期的DDS系统使用分离的数字器件搭接,随着整个电路系统运行频率的升高,采用分离器件构建的DDS电路有其自身无法克服的缺点,主要表现在电磁兼容和系统工作频率上。后来出现的专用DDS芯片极大的推动了DDS技术的发展,但专用DDS芯片价格昂贵,且无法实现任意波形输出,近来,CPLD及FPGA的发展为实现DDS提供了更好的技术手段。

FPGA的应用不仅使得数字电路系统的设计非常方便,并且还大大缩短了系统研制的周期,缩小了数字电路系统的体积和所用芯片的品种。而且它的时钟频率已可达到几百兆赫兹,加上它的灵活性和高可靠性,非常适合用于实现波形发生器的数字电路部分。

用FPGA设计DDS电路比采用专用DDS芯片更为灵活。因为,只要改变FPGA中的ROM数据,DDS就可以产生任意波形,因而具有相当大的灵活性。相比之下,FPGA的功能完全取决于设计需求,可以复杂也可以简单,而且FPGA 芯片还支持在系统现场升级,虽然在精度和速度上略有不足,但也能基本满足绝大多数系统的使用要求。另外,将DDS设计嵌入到FPGA芯片所构成的系统中,其系统成本并不会增加多少,而购买专用芯片的价格则是前者的很多倍。因此,采用FPGA来设计DDS系统具有很高的性价比。

用FPGA可以非常方便的实现DDS系统的数字电路环节,且可现场编程进行电路的修改。在DDS系统中,FPGA的主要完成:(1)保存频率字;(2)保存相位字;(3)构成相位累加器,产生波形RAM的地址;(4)形成波形RAM。

3.3.1 芯片的选择

本设计选择的FPGA芯片是EPF10K10LC84-4。

FLEX 10K系列器件是一种嵌入式的PLD产品。FLEX(可更改逻辑单元阵列)采用可重构的CMOS SRAM单元,其结构集成了实现通用多功能门阵列所需要的全部特性。FLEX 10K系列器件的容量可达25万门,能够高密度、高速度、高性能地将整个数字系统集成于单个器件中。

信号发生器论文(DOC)

函数信号发生器

函数信号发生器 1.概述 1.1 任务说明 1.设计、调试方波、三角波、正弦波发生器 2.输出波形:方波、三角波、正弦波 3..频率范围三段:10~100Hz,100 Hz~1KHz,1 KHz~10 KHz 4.正弦波U≈3V,三角波U≈5V,方波U≈14V 1.2 信号发生器发展现状 随着信息科技的发展,在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,这就需要能产生高频信号的振荡器。 在电子工程中,常常用到正弦信号,作为信号源的振荡电路,主要的要求是频率准确度高、频率稳定性好、波形失真小和振幅稳定度高等。 在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火,超声波焊接,超声诊断,核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。可见,正弦波振荡电路在各个科学技术部门的应用是十分广泛的。 正弦波振荡电路广泛应用于无线电通讯、广播电视,工业上的高频感应炉、超声波发生器、正弦波信号发生器等。正弦波振荡电路用来产生一定频率和幅值的正弦交流信号。它的频率范围很广,可以从一赫以下到几百兆以上;输出功率可以从几毫瓦到几十千瓦;输出的交流电是从电源的直流电转换而来的。 1.3 信号发生器的分类 信号发生器用途广泛、种类繁多,它分为通用信号发生器和专用信号发生器两大类。专用仪器是为某种专用目的而设计制作的,能够提供特殊的测量信号,如调频立体声信号发生器、电视信号发生器等。通用信号发生器应用面广,灵活性好,可以分为以下几类: 1、按发生器输出信号波形分类 按照输出信号波形的不同,信号发生器大致分为正弦信号发生器、函数信号发生器、脉冲信号发生器和随机信号发生器。应用最广泛的是正弦信号发生器。正弦信号是使用最广泛的测试信号。这是因为产生正弦信号的方法比较简单,而且用正弦信号测量比较方便。函数信号发生器也比较常用,这是因为它不仅可以输出多种波形,而且信号频率范围较宽。脉冲信号发生器主要用来测量脉冲数字电路的工作性能和模拟电路的瞬态响应。随机信号发生器即噪声信号发生器,用来产生实际电路和系统中的模拟噪声信号,借以测量电路的噪声特性。 2、按工作频率分类 按照工作频率的不同,信号发生器分为超低频、低频、视频、高频、甚高频、超高频信号发生器。 3、按调制方式分类 按调制方式的不同,信号发生器分为调幅、调频、调相、脉冲调制等类型。

波形发生器(DDS)_毕业设计论文

毕业设计(论文) 题目:波形发生器 成都工业学院

论文摘要 设计采用MCS-51系列单片机构成具有高可靠性的波形发生器,以单片机AT89C52为控制器,以DDS AD9850、D/A转换器TLC5615为核心。通过微处理器控制AD9850,实现信号发生器功能,微处理器控制D/A转换器TLC5615,从而控制乘法器AD534,实现正弦信号幅值的可调性。 系统由单片机AT89C52最小系统模块、键盘模块、函数信号发生模块、I/O 口扩展模块(8155)、LCD1602显示模块、TLC5615与AD534调幅模块、中断调频和调相模块组成、积分电路模块组成。单片机AT89S52最小系统模块为单片机提供12MHz晶振和复位电路,为单片机提供复位信号和内部时钟。键盘模块以键盘扫描方式输入信号频率的初始值,以实现频率初始值时时可改的功能。函数信号发生模块用数控的方法控制DDS芯片AD9850产生25Hz-40MHz正弦信号,25Hz-5MHz方波信号。I/O口扩展模块利用8155芯片扩展单片机I/0口,以满足本设计对I/O口的需求量。LCD1602显示模块时时显示输出波形频率、相位和幅值。TLC5615与AD534调幅模块利用微处理器控制D/A转换器TLC5615,从而控制乘法器AD534,实现正弦信号幅值的可调性,精度可达O.05 V。中断调频和调相模块由外中断0和外中断1组成,分别实现对输出信号频率步进、相位步进以及频率初值设定功能,频率步进量可达0.024,相位可按11.25°、22.5°、45°、90°、180°依此循环调节。积分电路模块通过运算放大器,对输出方波积分实现三角波输出。本设计用C语言编写模块化程序,增强可读性,便于AT89S52对各模块的控制。 关键词:单片机波形发生器直接频率合成器

课程设计波形发生器

一、设计任务和要求 要求:设计并制作用分立元件和集成运算放大器组成的能产生方波、三角波和正弦波的波形发生器。 二、原理电路设计: (1)方案的提出 方案一: ①先由文氏桥振荡产生一个正弦波信号(右图) ②把文氏桥产生的正弦波通过一个过零比较器 从而把正弦波转换成方波。 ③把方波信号通过一个积分器。转换成三角波。 方案二: ①由比较器和积分器构成方波三角波产生电路。(下图) ②然后通过低通滤波把三角波转换成正弦波信号。 方案三: ①由比较器和积分器构成方波三角波产生电路。(电路图与方案二相同) ②用折线法把三角波转换成正弦波。(下图) (2)方案的比较与确定 方案一:

文氏桥的振荡原理:正反馈RC网络与反馈支路构成桥式反馈电路。当R1=R2、C1=C2。即f=f 时,F=1/3、Au=3。然而,起振条件为Au略大于3。实际操作时, 如果要满足振荡条件R4/R3=2时,起振很慢。如果R4/R3大于2时,正弦波信号顶部失真。调试困难。RC串、并联选频电路的幅频特性不对称,且选择性较差。因此放弃方案一。 方案二: 把滞回比较器和积分比较器首尾相接形成正反馈闭环系统,就构成三角波发生器和方波发生器。比较器输出的风波经积分可得到三角波、三角波又触发比较器自动翻转形成方波,这样即可构成三角波和方波发生器。 通过低通滤波把三角波转换成正弦波是在三角波电压为固定频率或频率变化范围很小的情况下使用。然而,指标要求输出频率分别为102H Z、103H Z和104Hz。因此不满足使用低通滤波的条件。放弃方案二。 方案三: 方波三角波发生器原理如同方案二。 比较三角波和正弦波的波形可以发现,在正弦波从零逐渐增大到峰值的过程中,与三角波的差别越来越大;即零附近的差别最小,峰值附近差别最大。因此,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率范围的限制,便于集成化。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 (3)单元电路设计 此电路由反相输入的滞回比较器和RC电路组成。RC回路既作为延迟环节,又作为反馈网络,通过RC充、放电实现输出状态的自动转换。设某一时刻输出

函数信号发生器(毕业设计)

陕西国防学院电子工程系毕业论文 摘要 本系统以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的。 关键词: ICL8038,波形,原理图,常用接法 1

陕西国防学院电子工程系毕业论文 目录 摘要 (1) 目录 (2) 第一章项目任务 (3) 1.1 项目建 (3) 1.2 项目可行性研究 (3) 第二章方案选择 (4) 2.1 [方案一] (4) 2.2 [方案二] (4) 第三章基本原理 (5) 3.1函数发生器的组成 (6) 3.2 方波发生器 (6) 3.3 三角波发生器 (7) 3.4 正弦波发生器 (9) 第四章稳压电源 (10) 4.1 直流稳压电源设计思路 (10) 4.2 直流稳压电源原理 (11) 4.3设计方法简介 (12) 第五章振荡电路 (15) 5.1 RC振荡器的设计 (15) 第六章功率放大器 (17) 6.1 OTL 功率放大器 (17) 第七章系统工作原理与分析 (19) 7.1 ICL8038芯片简介 (19) 7.2 ICL8038的应用 (19) 7.3 ICL8038原理简介 (19) 7.4 电路分析 (20) 7.5工作原理 (20) 7.6 正弦函数信号的失真度调节 (23) 7.7 ICL8038的典型应用 (24) 致谢 (25) 心得体会 (26) 参考文献 (27) 附录1 (28) 附录2 (29) 附录3 (30) 2

利用Labview实现任意波形发生器的设计

沈阳理工大学课程设计专用纸No I

1 引言 波形发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。可见,为适应现代电子技术的不断发展和市场需求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。 波形发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL),直接数字合成技术(DDS)。 传统的波形发生器一般基于模拟技术。它首先生成一定频率的正弦信号,然后再对这个正弦信号进行处理,从而输出其他波形信号。早期的信号发生器大都采用谐振法,后来出现采用锁相环等频率合成技术的波形发生器。但基于模拟技术的传统波形发生器能生成的信号类型比较有限,一般只能生成正弦波、方波、三角波等少数的规则波形信号。随着待测设备的种类越来越丰富,测试用的激励信号也越来越复杂,传统波形发生器已经不能满足这些测试需要,任意波形发生器(AWG)就是在这种情况下,为满足众多领域对于复杂的、可由用户自定义波形的测试信号的日益增长的需要而诞生的。随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器输出频率较低。目前的任意波形发生器普遍采用DDS(直接数字频率合成)技术。基于DDS技术的任意波形发生器(AWG)利用高速存储器作为查找表,通过高速D/A转换器对存储器的波形进行合成。它不仅可以产生正弦波、方波、三角波和锯齿波等规则波形,而且还可以通过上位机编辑,产生真正意义上的任意波形。

函数信号发生器设计论文.

四川师范大学成都学院通信原理课程设计 目录 前言 (1) 1 函数信号发生器设计任务 (1) 1.1 设计提议 (1) 1.2 方案论证与研究 (1) 2 方案设计 (2) 2.1 项目指标 (2) 2.1.1 电源参数 (2) 2.1.2 工作频率 (2) 2.2 方案比较及选择 (2) 3 设计理论 (3) 3.1 函数发生器的结构组成 (3) 3.2 方波信号 ........................................................... 3 如图3.2-1由运算放大器和电容积分电路、Rf组成的,输出电压最终反馈到运 放反相输出端,因此积分电路有负反馈和延迟的作用。 (3) 3.3 正弦波信号 (4) 3.4 三角波信号 (6) 4 RC振荡电路设计 (7) 5 放大器功率及ICL8038介绍 (9) 5.1 放大器功率 (9) 5.2 ICL8038原理介绍 (10) 6 致谢 (11) 7 总结及体会 (12) 附录1 系统原理图 (13) 附录2 系统元件清单 (14) 附录3 系统PCB图 (15) I 四川师范大学成都学院通信原理课程设计参考文 献 (16) II 四川师范大学成都学院通信原理课程设计函数信号发生器设计论文 前言 函数信号发生器的制作是以集成块ICL8038为核心器件,制作的成本也相对较低。是适合学生学习、使用电子技术测量。ICL8038可以输出具有多种波形的精

密振荡集成电路,要想产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号只需要个别外部元件。输出波形的占空比和频率还可以由电阻或电流控制。其次由于此芯片具有调制信号的输入端,所以可以用作频率调制,针对于低频信号。 函数信号发生器有着不同的用途,其电路中使用的器件是分离器件的可以产生三种或多种波形的函数发生器;而产生正弦波、方波、三角波也有多种方案,是集成器件电路,如先产生正弦波,根据其周期性内部某种确定的函数关系,再将正弦波通过整形电路转化为方波,最后三角波通过积分电路形成。也可以先产生方波或三角波,再将方波或三角波转化成正弦波。随着电子技术日益发展,新器材、新材料越发渐好,随着期间可选性的增加,函数信号发生器开发出更多的新款式,比如在技术上很可靠的产生正弦波、三角波、方波的主芯片ICL8038。所以,可以选择多种多样的方案,原则上是可行的。 1 函数信号发生器设计任务 1.1 设计提议 产品开发、工业生产、科学研究等领域都的使用函数信号发生器,它常用的基本测试信号有锯齿波和正弦波、矩形波、三角波。常作为时基电路的锯齿波信号在示波器等仪器中利用荧光屏显示图像。例如,想要通过示波器荧光屏上观察到被测不失真地信号波形,通过产生锯齿波电压使的电子束在水平方向匀速搜出荧光屏。方波,三角波都有着不同的重要作用,而函数信号发生器是指一种能自发的产生方波、正弦波、三角波和锯齿波阶梯波等电压波形的仪器或电路。因此,提议设计一种能产生三角波、正弦波、方波的函数信号发生器。 1.2 方案论证与研究 函数信号发生器用途较多,其电路中使用的器件是分离器件的可以产生三种或多种波形的函数发生器;而产生正弦波、方波、三角波也有多种方案,是集成器件电路,如先产生正弦波,根据其周期性内部某种确定的函数关系,再将正弦波通过整形电路转化 1 四川师范大学成都学院通信原理课程设计为方波,最后三角波通过积分电路形成。也可以先产生方波或三角波,再将方波或三角波转化成正弦波。随着电子技术日益发展,新器材、新材料越发渐好,随着期间可选性的增加,函数信号发生器开发出更多的新款式,比如在技术上很可靠的产生正弦波、三角波、方波的主芯片ICL8038。所以,可以选择多种多样的方案,原则上是可行的。 2 方案设计 2.1 项目指标 2.1.1 电源参数 ● 输入:双电源 +12V、-12v

多种波形发生器_设计论文

《电子技术》 课程设计说明书 题目名称:多种波形发生器的设计姓名:xxx 学号:xxx 班级:xxx 指导教师:xxx 2013年1 月 4 日

摘要 波形发生器是一种能够产生大量标准信号和用户定义信号,具有高精度、可重复性、易操作性、对频率、幅值、相移、波形进行动态及时的控制的一类新型信号源。 本设计的设计方案是把滞回比较器和积分器首尾相接组成一个正反馈闭环系统,则比较器输出的方波经过积分器可得到三角波,三角波又触发比较器自动翻转形成法波;三角波—正弦波的转换电路主要由差分放大电路来完成,差分放大电路具有工作点稳定,输入阻抗高,抗干扰能力强等优点。特别是作为直流放大器,可以有效抑制零点漂移,因此可以将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 关键词:信号源;滞回比较器;积分器;波形发生器 多种波形发生器的设计背景 波形发生器是随着众多领域对于复杂的、可由用户定义的测试波形的需要而形成和发展起来的,它的主要特点是可以产生任何一种特殊波形,输出信号的频率、电平以及平滑低通滤波的截至频率也可以作到程序设置,因此在机械性能分析、雷达和导航、自动测试系统等方面得到广泛的应用。而对AWG的控制、数据传输、输出信号的频率和电平设置都可以通过微机打印口在EPP工作模式下设计完成。这样不仅具有设计简单,占用微机资源较少的优点,而且操作简单,使用方便,易于硬件升级。 波形发生器是能够产生大量的标准信号和用户定义信号,具有高精度、可重复性、易操作性、连续的相位变换和频率稳定性,还可以对频率、幅值、相移、波形进行动态及时的控制。随着不断进步的计算机技术和微电子技术在测量仪器中的应用而形成和发展起来的一类新型信号源。

模电课程设计(波形发生器)

课程设计 课程名称模拟电子技术基础课程设计题目名称波形发生电路_ 学生学院物理与光电工程学院 专业班级电子科学与技术(5)班 学号 学生姓名 指导教师 2013-12-10

一、题目: 波形发生电路 二、设计任务与技术指标 要求:设计并制作用分立元件和集成运算放大器组成的能产生正弦波、方波和三 角波的波形发生器。 基本指标: 1、输出的各种波形基本不失真; 2、频率范围为50H Z ~20KH Z ,连续可调; 3、方波和正弦波的电压峰峰值V PP >10V ,三角波的V PP >20V 。 三、电路设计及其原理 1) 方案的提出 方案一 ①用RC 桥式振荡器产生正弦波。 ②正弦波经过一个过零比较器产生方波。 ③方波通过积分运算产生三角波。 方案二 ①由滞回比较器和积分运算构成方波和三角波发生电路。(如图1所示) ②再由低通滤波把三角波转成正弦波。 方案三 ①由滞回比较器和积分运算构成方波和三角波发生电路。(同方案二) ②利用折线法把三角波转换成正弦波。(如图2所示) 图1 图3 图2

2)方案的比较 方案一中以RC串并联网络为选频网络和正反馈网络、并引入电压串联负反馈,从而产生正弦波。为了稳定正弦波幅值,一般要在反馈电阻一边串联一对反向的并联二极管,但这样会使正弦波出现交越失真。R1/R2=2时,起振很慢; R1/R2>2时,正弦波会顶部失真。调试困难。还有,RC桥式振荡器对同轴电位器的精确度要求较高,否则,正弦波很容易失真。 方案二的低通滤波产生正弦波适宜在三角波频率固定或变化小时使用,而本次课程设计要求频率50Hz-20KHz,显然不适合。 方案三滞回比较器和积分比较器首尾相接形成正反馈闭环系统,这样就形成方波发生器和三角波发生器。滞回比较器输出的方波经积分产生三角波,三角波又触发比较器自动翻转成方波。 另外,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率范围的限制,便于集成化。虽然反馈网络中电阻的匹配困难,但可以通过理论计算出每个电阻阻值后再调试。这样可以省下很多功夫。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 3)单元电路设计 方波---三角波产生电路

信号发生器毕业设计

信号发生器的设计与制作 系别:机电系专业:应用电子技术届:07届姓名:张海峰 摘要 本系统以AD8951集成块为核心器件,AT89C51集成块为辅助控制器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。AD9851是AD公司生产的最高时钟为125 MHz、采用先进的CMOS技术的直接频率合成器,主要由可编程DDS系统、高性能模数变换器(DAC)和高速比较器3部分构成,能实现全数字编程控制的频率合成。 关键词AD9851,AT89C51,波形,原理图,常用接法

ABSTRACT 5 The system AD8951 integrated block as the core device, AT89C51 Manifold for auxiliary control devices, production of a function signal generator to produce low cost. Suitable for students to learn the use of electronic technology measurement. AD9851 is a AD produced a maximum clock of 125 MHz, using advanced CMOS technology, the direct frequency synthesizer, mainly by the programmable DDS systems, high-performance module converter (DAC) and high-speed comparator three parts, to achieve full Digital program-controlled frequency synthesizer. Key words AD9851, AT89C51, waveforms, schematics, Common Connection

模电课程设计-波形发生器

一、设计题目 波形发生电路 二、设计任务和要求 要求:设计并制作用分立元件和集成运算放大器组成的能产生方波、三角波和正弦波的波形发生器。 指标:输出频率分别为:102H Z、103H Z和104Hz;输出电压峰峰值V PP≥20V 三、原理电路设计: (1)方案的提出 方案一: ①先由文氏桥振荡产生一个正弦波信号(右图) ②把文氏桥产生的正弦波通过一个过零比较器 从而把正弦波转换成方波。 ③把方波信号通过一个积分器。转换成三角波。 方案二: ①由比较器和积分器构成方波三角波产生电路。(下图) ②然后通过低通滤波把三角波转换成正弦波信号。 方案三: ①由比较器和积分器构成方波三角波产生电路。(电路图与方案二相同) ②用折线法把三角波转换成正弦波。(下图)

(2)方案的比较与确定 方案一: 文氏桥的振荡原理:正反馈RC网络与反馈支路构成桥式反馈电路。当R1=R2、时,F=1/3、Au=3。然而,起振条件为Au略大于3。实际操作时,C1=C2。即f=f 如果要满足振荡条件R4/R3=2时,起振很慢。如果R4/R3大于2时,正弦波信号顶部失真。调试困难。RC串、并联选频电路的幅频特性不对称,且选择性较差。因此放弃方案一。 方案二: 把滞回比较器和积分比较器首尾相接形成正反馈闭环系统,就构成三角波发生器和方波发生器。比较器输出的风波经积分可得到三角波、三角波又触发比较器自动翻转形成方波,这样即可构成三角波和方波发生器。 通过低通滤波把三角波转换成正弦波是在三角波电压为固定频率或频率变化范围很小的情况下使用。然而,指标要求输出频率分别为102H Z、103H Z和104Hz。因此不满足使用低通滤波的条件。放弃方案二。 方案三: 方波三角波发生器原理如同方案二。 比较三角波和正弦波的波形可以发现,在正弦波从零逐渐增大到峰值的过程中,与三角波的差别越来越大;即零附近的差别最小,峰值附近差别最大。因此,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率范围的限制,便于集成化。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 (3)单元电路设计

函数信号发生器的设计与制作

Xuchang Electric V ocational College 毕业论文(设计) 题目:函数信号发生器的设计与制作 系部:电气工程系_ 班级:12电气自动化技术 姓名:张广超 指导老师:郝琳 完成日期:2014/5/20

毕业论文内容摘要

目录 1引言 (3) 1.1研究背景与意义 (3) 1.2研究思路与主要内容 (3) 2 方案选择 (4) 2.1方案一 (4) 2.2方案二 (4) 3基本原理 (5) 4稳压电源 (6) 4.1直流稳压电源设计思路 (6) 4.2直流稳压电源原理 (6) 4.3集成三端稳压器 (7) 5系统工作原理与分析 (8) 5.1ICL8038芯片性能特点简介 (8) 5.2ICL8038的应用 (8) 5.3ICL8038原理简介 (8) 5.4电路分析 (9) 5.5ICL8038内部原理 (10) 5.6工作原理 (11) 5.7正弦函数信号的失真度调节 (11) 5.8ICL8038的典型应用 (12) 5.9输出驱动部分 (12) 结论 (14) 致谢 (15) 参考文献 (16) 附录 (17)

1引言 信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波(含方波)、正弦波的电路被称为函数信号发生器。 1.1研究背景与意义 函数信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波信号产生器作为时基电路。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转线圈上加随时间线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。对于三角波,方波同样有重要的作用,而函数信号发生器是指一般能自动产生方波正弦波三角波以及锯齿波阶梯波等电压波形的电路或仪器。因此,建议开发一种能产生方波、正弦波、三角波的函数信号发生器。函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如 ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的[1]。 1.2研究思路与主要内容 本文主要以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术实验使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从几赫到几百千赫的低失真正弦波、三角波、矩形波等脉冲信号。基于ICL8038函数信号发生器主要电源供电、波形发生、输出驱动三大部分组成。电源供电部分:主要由集成三端稳压管LM7812和LM7912构成的±12V直流电压作为整个系统的供电。波形发生部分:主要由单片集成函数信号发生器ICL8038构成。通过改变接入电路的电阻或电容的大小,能够得到几赫到几百千赫不同频率的信号。输出驱动部分:主要由运放LF353构成。由于ICL8038的输出信号幅度较小,需要放大输出信号。ICL8038的输出信号经过运放LF353放大后能够得到输出幅度较大的信号[2]。

基于51单片机波形发生器的设计与实现开题报告

基于51单片机波形发生器的设计与实现开题报告合肥师范学院本科生毕业论文(设计)开题报告 (学生用表) 课题基于51单片机波形发生器的设计与实现 系部电子信息工程学院专业电子信息工程学科工学 学生屠宝轩指导教师吴剑威一、课题的来源、背景及意义 (1)来源:科研/生产 (2)背景:单片机是再20世纪70年代中期发展起来的一种大规模集成电路芯 片,是由中央处理器(CPU), ROM、RAM芯片及I/O接口和一些外围电路等通过印刷版总线连接在一起的一个完整的讣算机系统。信号发生器是一种作为激励源或者信号源的电子设备,它能够产生各种各样的波形和频率,其在教学实验,生产装实践和科技领域有着广泛的应用,是最普遍使用的电子仪器之一。对于电子类专 业的学生,除了学习理论知识外,还必须将所学的理论知识付诸实践,在实践中订应用理论知识,提高动手能力,从而提高发现,解决问题的能力,所以试验是必 不可少的环节,而信号波形发生器是实验过程最普遍,最基本,也是应用最广泛线的电子设备之一,本研究不是针对高端的信号发生器开发,而是从降低经 济成本, 操作方便简单,输出波形实用角度出发,研究一套设备。

(3)意义:传统的正弦信号源根据实际需要一般价格昂贵,低频输出时性能不好且不便于自动调节,丄程实用性较差。现在利用单片机的优越性,制作一种体积小,实用性强,使用方便的低频信号发生器,以AT85C51单片机为核心,结合低俗D/A转换器,通过设计与编程实现了正弦波、方波、锯齿波的产生及其自山切换以及频率、相位的可调与多相波的同时输出。 二、国内外发展现状 信号波形发生器历史非常的久远,它产生于上个世纪20年代,那会,电子设备刚刚诞生,随后,雷达发展了起来,通信技术也在不断地发展,到了40年代,标准信号发生器开始出现,它的出现主要是为了进行各种接收机的测试,使信号发生器诞生之初主要是用来做定性分析的,随着使用的要求不断提升,慢慢发展成为了定量分析的测量仪器,还是在这个时期,脉冲信号发生器也出现了,这个主要是用于脉冲方面的测量的,上面说的这些信号波形发生器都是早期的一些产品,复杂的机械结构,比较 [1]大的功率,比较简单的电路,速度发展总体是比较慢的。这种发展速度一直持续到1964年,笫一台全电子晶体管做的信号发生器出现。 从60年代以后,信号波形发生器的发展速度就开始加快了,有个代表产品,那就是函数信号发生器,但是模拟的电子方面的技术在这段时期是占主要的,组成的部分一般都是分立元件,或者是采用模拟的集成方面的电路,电路结构相比于60年代以前,要复杂了,产生的波形就多了一些,比如有方波、正弦波、三角波,还有了锯齿波,但是波形还是比较简单的,加上模拟电路会产生较大的漂移,输出波形的稳定性还是比较差的,70年代乂是一个转折点,出现了微控制器,这个时候信号波形发生器的功能就开始强大了起来,波形的产生也比较复杂了。对信号波形发生器而言,软件成为这个时期的主要特征,通过程序用微控制器进行相应的处理就能方便灵活的获得一些简单的信号波形,当然这种方式也是有缺陷的,那就是波形输出的频率不会很

微机原理课程设计波形发生器

微机原理课程设计 波形发生器 基本要求: (1)通过按键选择波形,波形选择(方波、三角波)。8255 A 和0832 (2)通过按键设定波形的频率,同时波形频率在数码管上显示。8255A (3)频率设定后,通过8253精确计时来设置波形宽度大小,比如方波的占空比。(4)8259A产生中断,用示波器显示输出波形。 附加要求: (1)通过按键可以增大或者降低频率; (2)显示正弦波。

目录 一理论部分 1.1 课程设计的目的 (2) 1.2 课程设计要求与内容 (2) 1.3 总体设计方案 (2) (1)设计思想及方案论证 (2) (2)总体设计方案框图 (3) 1.4 系统硬件设计 (4) 1.5 系统软件设计 (5) 二实践部分 2.1 系统硬件原理简介 (6) 2.2 程序调试 (9) 2.3 软件系统的使用说明 (9) 三课程设计结果分析 3.1 实验结果 (10) 3.2 结果分析 (11) 四课程设计总结 (11) 五附录 5.1源程序及说明 (12)

波形发生器 一 理论部分 1.1 课程设计的目的 (1)综合模拟电子线路、数字电子技术和微机原理等多门专业基础课程的知识,使学生对 以计算机为核心的通信、测量或控制系统有个全面了解和实践的过程。 (2)掌握常规芯片的使用方法、掌握简单微型计算机应用系统软硬的设计方法,进一步锻炼同学们在微型计算机应用方面的实际工作能力,强化本学科内容并扩展知识面。 (3)体验分析问题、提出解决方案、通过编程等手段实现解决方案、不断调试最终达到设计要求的全过程。 (4)培养学生的创造力和对专业的适应性。 1.2 课程设计的内容和要求 1、通过按键选择波形,波形选择(方波、三角波、正弦波)。8255 A 和0832 2、通过按键设定波形的频率,同时波形频率在数码管上显示。8255A 3、频率设定后,通过8253精确计时来设置波形宽度大小,比如方波的占空比。 4、8259A 产生中断,用示波器显示输出波形。 5、通过按键可以增大或者降低频率; 6、画出电路原理图,说明工作原理,编写程序及程序流程图。 1.3 总体设计方案 (1)设计思想及方案论证 由于要求达到模拟信号波形发生,因此要由D/A 转换芯片0832来来完成此项任务,由8253形成波形的主要做法是:先输出一个下限电平,将其保持t 然后输出一个稍高的电平,在保持t ,然后重复此过程,因此需要延长0832输入数据的时间间隔来改变频率。如图1信号发生波形图所示。0832输入的数据的延时可以通过软件完成,也可以通过硬件完成。由于实验要求输出的波的频率可以改变,且精确,所以选用硬件延时 硬件延时主要由计时器8253和中断控制器8259来实现。由8253输出的方波的高低电平,来触发8259的IR0端,8259给CPU 中断信号,CPU 中断来执行相应的中断子程序,中断子程序为向0832输出数据的程序,通过选择此程序可以产生锯齿波,方波,正弦波。由于0832产生的方波的频率可以控制,所以每次中断执行波形发生程序的时间间隔可以精确控制。以此来控制输出的波形频率。最后通过8255驱动LED 数码显示管,实现对输入的频率的显示,由键盘直接输入波形频率,通过LED 数码显示管显示。 +5V 0V 图1 信号发生波形图

单片机信号发生器设计--论文

12 目录 1. 系统设计 1.1 设计要求 1.2方案设计与论证 1.2.1 信号发生电路方案论证 1.2.2 单片机的选择论证 1.2.3 显示方案论证 1.2.4 键盘方案论证 1.3 总体系统设计 1.4 硬件实现及单元电路设计 1.4.1 单片机最小系统的设计 1.4.2 波形产生模块设计 1.4.3 显示模块的设计 1.4.4 键盘模块的设计 1.5 软件设计流程 1.6源程序 2. 输出波形的种类与频率的测试 2.1 测试仪器及测试说明 2.2 测试结果 3. 设计心的及体会 4. 附录 4.1 参考文献 4.2 附图

1、系统设计 经过考虑,我们确定方案如下:利用AT89S52单片机采用程序设计方法产生锯齿波、正弦波、矩形波三种波形,再通过D/A转换器DAC0832将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,通过键盘来控制三种波形的类型选择、频率变化,最终输出显示其各自的类型以及数值。 本系统利用单片机AT89S52采用程序设计方法产生锯齿波、正弦波、矩形波三种波形,再通过D/A转换器DAC0808将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,能产1Hz—3kHz的波形。通过键盘来控制三种波形的类型选择、频率变化,并通过液晶屏1602显示其各自的类型以及数值,系统大致包括信号发生部分、数/模转换部分以及液晶显示部分三部分,其中尤其对数/模转换部分和波形产生和变化部分进行详细论述。 1.1、设计要求 1)、利用单片机采用软件设计方法产生三种波形 2)、三种波形可通过键盘选择 3)、波形频率可调 4)、需显示波形的种类及 1.1 课题的来源与技术背景 不论是在生产还是在科研与教学上,信号发生器都是电子工程师仿真实验的最佳工具。随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,信号发生器己成为测试仪器中至关重要的一类,因此开发信

课程设计——波形发生器

1.概述 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。本课程采用采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。

2.设计方案 采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。文氏桥振荡器产生正弦波输出,其特点是采用RC串并联网络作为选频和反馈网络,其振荡频率f=1/2πRC.改变RC的值,可得到不同的频率正弦波信号输出。用集成运放构成电压比较器,将正弦波变换成方

3. 设计原理 3.1正弦波产生电路 正弦波由RC 桥式振荡电路(如图3-1所示),即文氏桥振荡电路产生。文氏桥振荡器具有电路简单、易起振、频率可调等特点而大量应用于低频振荡电路。正弦波振荡电路由一个放大器和一个带有选频功能的正反馈网络组成。其振荡平衡的条件是AF =1以及ψa+ψf=2n π。其中A 为放大电路的放大倍数,F 为反馈系数。振荡开始时,信号非常弱,为了使振荡建立起来,应该使AF 略大于1。 放大电路应具有尽可能大的输入电阻和尽可能小的输出电阻以减少放大电路对选频特性的影响,使振荡频率几乎仅决定于选频网络,因此通常选用引入电压串联负反馈的放大电路。正反馈网络的反馈电压U f 是同相比例运算电路的输入电压,因而要把同相比例运算电路作为整体看成电路放大电路,它的比例系数是电压放大倍数,根据起振条件和幅值平衡条件有 31 1≥+ =R Rf Av (Rf=R2+R1//D1//D2) 且振荡产生正弦波频率 Rc f π210= 图中D1、D2的作用是,当Vo1幅值很小时,二极管D1、D2接近开路,近似有Rf =9.1K +2.7K =11.8K ,,Av=1+Rf/R1=3.3>=3,有利于起振;反之当Vo 的幅值较大时,D1或D2导通,Rf 减小,Av 随之下降,Vo1幅值趋于稳定。

任意信号发生器毕业设计开题报告书

苏州科技学院 毕业设计开题报告 设计题目任意信号发生器的硬件设计(基于89C51实现)院系电子与信息工程学院 专业电子信息工程 班级电子0911 学生姓名XXXXXXX 学号 设计地点 指导教师 2013 年3月31 日

设计题目:任意信号发生器的硬件设计(基于89C51实现)课题目的、意义及相关研究动态: 一、课题目的: 信号发生器是一种能产生模拟电压波形的设备,这些波形能够校验电子电路的设计。信号发生器广泛用于电子电路、自动控制系统和教学实验等领域,它是一种可以产生正弦波,方波,三角波等函数波形的一起,其频率范围约为几毫赫到几十兆赫,在工业生产和科研中利用信号发生器输出的信号,可以对元器件的性能鉴定,在多数电路传递网络中,电容与电感组合电路,电容与电阻组合电路及信号调制器的频率,相位的检测中都可以得到广泛的应用。因此,研究信号发生器也是一个很重要的发展方向。 常用的信号发生器绝大部分是由模拟电路构成的,但这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不但参数准确度难以保证,而且体积和功耗都很大,而本课题设计的函数信号发生器,由单片机构成具有结构简单,价格便宜等特点将成为数字量信号发生器的发展趋势。 本课题采用的是以89c51为核心,结合 DAC0832实现程控一般波形的低频信号输出,他的一些主要技术特性基本瞒住一般使用的需要,并且它具有功能丰富,性能稳定,价格便宜,操作方便等特点,具有一定的推广作用。 二、课题意义: (1)任意信号发生器主要在实验中用于信号源,是电子电路等各种实验必不可少的实验设备之一,掌握任意信号发生器的工作原理至关重要。 (2)任意信号发生器能产生某些特定的周期性时间任意波形(正波、方波、三角波)信号,频率范围可从几个微赫到几十兆赫任意信号发生器在电路实验和设备检测中具有十分广泛的用途。 (3)本课题主要研究开发一个基于51单片机的实验用任意信号发生器,不但成本较低而精度较高,最重要的是开发简单易于调试,具有一定社会价值和经济价值。 (4)任意信号发生器作为一种常见的电子仪器设备,既能够构成独立的信号源,也可以是高新能的网络分析仪,频谱仪以及自动测试装备的组成部分,任意信号发生器的关键技术是多种高性能仪器的支撑技术,因为它是能够提高质量的精密信号源及扫描源,可使相应系统的检测过程大大简化,降低检测费用并且提高检测精度。

信号发生器论文

目录 一设计任务与要求 (1) 二方案设计及论证 (1) 三单元电路设计与参数计算 (3) 3.1单片机最小系统 (3) 3.2数模转换电路 (4) 3.3运算放大器电路和低通滤波电路 (5) 3.4显示电路 (6) 3.5键盘显示模块的设计 (7) 3.6软件设计流程 (7) 3.7软件仿真 (8) 四安装与调试 (11) 4.1调试过程 (11) 4.2出现的问题与解决的方法 (11) 4.3调试结果 (12) 五性能分析与测试 (13) 5.1测试仪器 (13) 5.2测试数据 (13) 5.3性能总结 (14) 六结论与心得 (14) 参考文献 (15) 附录1仿真图 (15) 附录2源程序 (16)

一、 设计任务与要求 信号发生器又称信号源或振荡器,是现今各种电子电路实验设计中不可或缺的仪器设备之一。按信号波形可分为正弦信号、函数信号、脉冲信号和随机信号发生器四类;按频率覆盖范围分为低频信号发生器、高频信号发生器和微波信号发生器;按输出电平可调节范围和稳定度分为简易信号发生器、标准信号发生器和功率信号发生器;按频率改变的方式分为调谐式信号发生器、扫描式信号发生器、程控式信号发生器和频率合成式信号发生器等。 本课程设计要求利用单片机构造低频信号发生器,可产生正弦波、方波和三角波三种波形,再通过/D A 转换器把数字信号转变成模拟信号,经运算放大器输出到示波器,与此同时,外接LCD 显示输出信号的类型和频率。 ● 基本要求 (1) 用单片机实现波形的输出; (2) 用LCD 显示输出波形的类型和频率; (3) 输出波形中不能产生尖峰干扰; (4) 按键可切换波形; (5) 输出频率范围:110Hz KHz ; (6) 误差要求:正弦波频率误差1%<,方波上升沿和下降沿的时间均应小于2s μ, 频率误差0.1%<,三角波失真率3%≤; (7) 响应时间15s <。 ● 拓展功能 (1) 实现输出波形的幅值可调:输出电压范围012V 连续可调; (2) 实现输出波形频率可调:110Hz KHz 可调。 二、 方案设计及论证 设计一个由单片机控制的信号发生器。运用单片机系统控制产生方波、三角波、正弦波。信号发生器所产生的波形的频率、幅度均可调节。并可通过按键切换信号的波形。并用LCD 显示输出波形的类型和频率。 ● 总体方案 方案1:利用8951AT C 单片机和数模转换器件0832DAC 产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。至于波形的产生,首先产

相关文档
最新文档