第三章_组合逻辑电路

第三章_组合逻辑电路
第三章_组合逻辑电路

第3章组合逻辑电路

德州学院计算机系:刘树海

3-1概述

组合逻辑电路的特点

?从功能上

?从电路结构上

逻辑功能的描述

组合逻辑电路的分析方法

组合逻辑电路的设计方法

一、逻辑抽象

?分析因果关系,确定输入/输出变量

?定义逻辑状态的含意(赋值)

?列出真值表

二、写出函数式

三、选定器件类型

四、根据所选器件:对逻辑式化简(用门)

变换(用M S I)

或进行相应的描述(P L D)五、画出逻辑电路图,或下载到P L D

六、工艺设计

设计举例:

?设计一个监视交通信号灯状态的逻辑电路

设计举例:

1.抽象

?输入变量:红(R)、黄(A)、绿(G)

信号(Z)

2. 写出逻辑表达式

设计举例:

3. 选用小规模S S I 器件

4. 化简

5. 画出逻辑图

3-2若干常用组合逻辑电路 ? 加法器

? 数值比较器 ? 编码器 ? 译码器 ? 数据选择器 ?

数据分配器

加法器

一、1位半加器

RAG RAG G RA AG R G A R Z ++++=''''''

逻辑图:

S i A i B i

C i

i i i

i i i i

i i i i A B S +=i

i i B A C =B

A ⊕=2. 全加器(F ull A dder )

两个 1 位二进制数相加,考虑低位进位。

A i +

B i +

C i -1 ( 低位进位 )

= S i ( 和 ) → C i ( 向高位进位 ) 1 0 1 --- A 1 1 1 0 ---

B + --- 低位进位

1 0 0 1 0 1 1 1 1 1

-1-1-1- i i i i i i i i i i i i i C B A C B A C B A C B A S +++=1

111----+++=i i i i i i i i i i i i i C B A C B A C B A C B A C --- S

高位进位← 0

?集成全加器

?多位加法器

用74LS183构成的逻辑图:

3 3

超前进位加法器

基本原理:加到第i位

的进位输入信号是两

个加数第i 位以前各位 (0 ~ j -1)的函数,

可在相加前由A ,B 两数确定。 优点:快,每1位的和

及最后的进位基本同时产生。 缺点:电路复杂。

用加法器设计组合电路

? 基本原理:

若能生成函数可变换成输入变量与输入变量相加

若能生成函数可变换成输入变量与常量相加

例:将B C D 的8421码转换为余3码

思考:已知X 是3位二进制数(其值小于等于5),试用加法器实现Y =3X 数值比较器

? 用来比较两个二进制数的数值大小

一、1位数值比较器 A ,B 比较有三种可能结果

1

000000)(-++=C B A B A C []

10000011111)()(-++++=C B A B A B A B A C

3 2 1

3 A 3B 3A 2B 2A 1B 1A 0B 0C …

CMOS :CC4008 TTL : 74283 74LS283 8421 BCD 码 → 余 3 码

'

)(')(''

)(')(),,(,),(,),(B A Y B A B A B A Y B A B A B A AB Y AB B A B A B A B A B A ⊕=∴=*=∴===<*=∴===>*=<>10110101或同为则则

编码器

? 编码:将输入的每个高/低电平信号变成一个对应的二进制代码 ? 普通编码器

1 位数值比较器

0 0 0 1 1 0 1 1 0 1 0

0 0 1 1 0 0

0 1

— 用与非门

A i

B L i G i M L i ( A > B ) G i ( A = B ) M i

( A < B )

i

i i B A M =i

i i B A L =i

i i i i B A B A G ?= i

i i B A M =i

i i i i B A B A G +== A i ⊙ B i

A B i

A i

B i

M i

G L i

G = (A 3⊙B 3)(A 2⊙B 2)

(A 1⊙B 1)(A 0⊙B 0) 4 位数值比较器

M = A 3B 3+ (A 3⊙B 3) A 2B 2

+ (A 3⊙B 3)(A 2⊙B 2) A 1 B 1+ (A 3⊙B 3)(A 2⊙B 2)(A 1⊙B 1) A 0B 0

L = M+G

A

B

?优先编码器

一、普通编码器

?特点:任何时刻只允许输入一个编码信号。

?例:3位二进制普通编码器

利用无关项化简,得:

二、优先编码器

?特点:允许同时输入两个以上的编码信号,但只对其中优先权最高的一个进行编码。?例:8线-3线优先编码器

?(设I7优先权最高…I0优先权最低)

实例:

74H C148

控制端扩展功能举例:

?例:用两片8线-3线优先编码器

16线-4线优先编码器

其中,的优先权最高···

二-十进制优先编码器

?将编成0110~1110

?的优先权最高,最低

?输入的低电平信号变成一个对应的十进制的编码

?译码:将每个输入的二进制代码译成对应的输出高、低电平信号。

?常用的有:二进制译码器,二-十进制译码器,显示译码器等

真值表逻辑表达式:

集成译码器实例:74H C138

74H C138的功能表:

?利用附加控制端进行扩展

例:用74H C138(3线—8线译码器)

4线—16线译码器

二—十进制译码器

?将输入B C D码的10个代码译成10个高、低电平的输出信号

B C D码以外的伪码,输出均无低电平信号产生

?例:74H C42

用译码器设计组合逻辑电路

1.基本原理

3位二进制译码器给出3变量的全部最小项;

。。。

n位二进制译码器给出n变量的全部最小项;

任意函数

将n位二进制译码输出的最小项组合起来,可获得任何形式的输入变量不大于n的组合函数2.举例

例:利用74H C138设计一个多输出的组合逻辑电路,输出逻辑函数式为:

显示译码器

?1.七段字符显示器

如:

真值表卡诺图

B C D-七段显示译码器7448的逻辑图

7448的附加控制信号:(1)

?灯测试输入

7448的附加控制信号:(2)

?灭零输入

7448的附加控制信号:(3)

?灭灯输入/灭零输出

输入信号,称灭灯输入控制端:

无论输入状态是什么,数码管熄灭

输出信号,称灭零输出端:

只有当输入,且灭零输入信号时,

才给出低电平

因此表示译码器将本来应该显示的零熄灭了

例:利用和的配合,实现多位显示系统的灭零控制

?整数部分:最高位是0,而且灭掉以后,输出作为次高位的输入信号?小数部分:最低位是0,而且灭掉以后,输出作为次低位的输入信号数据选择器

一、数据选择器和数据分配器的作用

?例:“双四选一”,74H C153

?分析其中的一个“四选一”

例:用两个“四选一”接成“八选一”

?“四选一”只有2位地址输入,从四个输入中选中一个

?“八选一”的八个数据需要3位地址代码指定其中任何一个

二、用数据选择器设计组合电路

?1.基本原理

具有n位地址输入的数据选择器,可产生任何形式的输入变量不大于n+1的组合函数

数据分配器

?数据分配器与数据选择器相反,它是将一个信号从输入发送到选定的输出端。

?一位四路数据分配器的电路图

组合逻辑电路中的竞争-冒险现象

竞争-冒险现象及成因

一、什么是“竞争”

两个输入“同时向相反的逻辑电平变化”,称存在“竞争”

二、因“竞争”而可能在输出产生尖峰脉冲的现象,称为“竞争-冒险”。

三、2线—4线译码器中的竞争-冒险现象

消除竞争-冒险现象的方法

一、接入滤波电容

尖峰脉冲很窄,用很小的电容就可将尖峰削弱到V T H以下。

二、引入选通脉冲

取选通脉冲作用时间,在电路达到稳定之后,P的高电平期的输出信号不会出现尖峰。

三、修改逻辑设计

例:

用m u l t i s i m分析组合逻辑电路

例:用m u l i t i s i m分析逻辑电路.找出电路的逻辑函数式和逻辑真值表。

本章小结

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

门电路与组合逻辑电路

第七章门电路与组合逻辑电路 习题一 一、选择题 1. 三态门输出高阻状态时,是正确的说法。 A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 2. 以下电路中可以实现“线与”功能的有。 A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门 3.以下电路中常用于总线应用的有。 A.T S L门 B.O C门 C.漏极开路门 D.C M O S与非门 4.逻辑表达式Y=A B可以用实现。 A.正或门 B.正非门 C.正与门 D.负或门 5.T T L电路在正逻辑系统中,以下各种输入中相当于输入逻辑“1”。 A.悬空 B.通过电阻 2.7kΩ接电源 C.通过电阻 2.7kΩ接地 D.通过电阻510Ω接地 6.对于T T L与非门闲置输入端的处理,可以。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端 并联 7.要使T T L与非门工作在转折区,可使输入端对地外接电阻R I。 A.>R O N B.<R O F F C.R O F F<R I<R O N D.>R O F F 8.三极管作为开关使用时,要提高开关速度,可。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 9.C M O S数字集成电路与T T L数字集成电路相比突出的优点是。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 10.与C T4000系列相对应的国际通用标准型号为。 A.C T74S肖特基系列 B.C T74L S低功耗肖特基系列 C.C T74L低功耗系列 D.C T74H高速系列 二、判断题(正确打√,错误的打×) 1.TTL与非门的多余输入端可以接固定高电平。() 2.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 3.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。() 4.两输入端四与非门器件74LS00与7400的逻辑功能完全相同。() 5.CMOS或非门与TTL或非门的逻辑功能完全相同。()

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

实验4门电路与组合逻辑电路的分析

实验4 门电路及组合逻辑电路的研究 —、实验目的 1. 测量集成电路“与非门”的逻辑功能。 2. 学习用集成电路“与非门”组成简单的逻辑电路,并研究其逻辑功能。 3. 用集成电路“与非门”构成知识竞赛抢答器电路,并验证其功能。 二、实验内容与要求 4. 内容: (1)测试“与非门”的逻辑功能; (2)用“与非门”连接成半加器电路并测试其逻辑功能; (3)用“与非门”实现三人抢答电路。 5. 要求: (1)双端输入“与非门”的输入信号为A 、B ,输出端信号为F 。分别在输入端加信号如表4-1,测量输出信号状态并填入表内。 表4-1 “与非门”状态表 (2S 和进位C 。分别在输入端加信号如表4-2,测量输出信号状态并填入表内。 表4-2 半加器状态表 (3)连接出三人(A 、B 、C )抢答器电路。该电路应能实现:任一人抢答时其他人不能再抢答;抢答时,主持人灯和抢答人的指示灯亮;主持人可以清零。 三、实验电路和设备 1. 实验电路 (1)半加器电路参考电路图,见图4-1。(共需要7个“与非门”) 根据B A B A B A B A B A B A S ?=+=+=和AB AB C ==得到用“与非门”组成的电路如下:

图4-1 半加器电路 (2)三人知识竞赛抢答电路参考电路图,见图4-2。(共需要10个“与非门”) 图4-2 三人抢答电路 2.实验设备 实验用到数字电路实验模块。实验模块上有集成四“与非门”芯片74LS00。管脚功能见图4-3。 图4-3 四集成“与非门”74LS00芯片管脚图 实验模块上有集成四输入双“与非门”芯片74LS20。管脚功能见图4-4。

第10章 组合逻辑电路

第10章组合逻辑电路 一、基本要求 1.掌握组合电路的特点及其分析方法和设计方法; 2.理解几种常用的组合逻辑电路及其中规模器件的功能并掌握使用方法; 3.了解组合逻辑电路中的竟争——冒险现象。 二、阅读指导 1、组合逻辑电路的特点 组合逻辑电路在逻辑功能上的特点是电路任意时刻的输出状态,只取决于该时刻的输入状态,而与该时刻之前的电路输入状态和输出状态无关。 组合逻辑电路在结构上的特点是不含有具有存储功能的电路。可以由逻辑门或者由集成组合逻辑单元电路组成,从输出到各级门的输入无任何反馈线。 组合逻辑电路的输出信号是输入信号的逻辑函数。这样,逻辑函数的四种表示方法,都可以用来表示组合逻辑电路的功能。 2、组合逻辑电路的分析 组合逻辑电路的分析就是根据给定的逻辑电路,通过分析找出电路的逻辑功能,或是检验所设计的电路是否能实现预定的逻辑功能,并对功能进行描述。其一般步骤为:(1)根据逻辑图写出输出逻辑函数表达式 由输入端逐级向后推(或从输出向前推到输入),写出每个门的输出逻辑函数表达式,最后写出组合电路的输出与输入之间的逻辑表达式。有时需要对函数式进行适当的变换,以使逻辑关系简单明了。 (2)列出真值表 列出输入逻辑变量全部取值组合,求出对应的输出取值,列出真值表。 (3)说明电路的逻辑功能 根据逻辑表达式或真值表确定电路的逻辑功能,并对功能进行描述。 3、组合逻辑电路的设计 根据给定的逻辑功能要求,设计出能实现这一功能要求的最简组合逻辑电路,就是设计组合逻辑电路的任务。 在设计组合逻辑电路时,电路的最简是我们追求的目标之一。电路的“最简”含意是指所用器件数最少、器件的品种最少、器件间的连线也最少。 组合逻辑电路设计的一般步骤如下: (1)进行逻辑规定 根据设计要求设计逻辑电路时,首先应分析事件的因果关系,确定输入与输出逻辑变量,并规定变量何时取1何时取0,即所谓逻辑状态赋值。 (2)列真值表并写出逻辑函数式 根据输入、输出之间的因果关系,列出真值表。至此,便将一个具有因果关系的事件表示为逻辑函数,并且是以真值表的形式给出。 真值表中输出为1时所对应的各最小项之和就是输出逻辑函数式。 (3) 对输出逻辑函数式化简

习题1-门电路和组合逻辑电路

第20章习题 门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL 电路多余输入端C 进行处理(只需一种处理方法),Y 1的C 端应接 ,Y 2的C 端应接 , 解:接地、悬空 S10203G 在F = AB +CD 的真值表中,F =1的状态有( )。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A 、B 、C 三个输入变量,当B =1时,其输出为( )。 A. 0 B. 1 C. D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( )。 A. 饱和 B. 放大 C. 饱和或放大 D. 饱和或截止 解:D S10204I 逻辑电路如图所示,其逻辑函数式为( )。 A. B. C. D. 解:C S10204N 已知F =AB +CD ,选出下列可以肯定使F = 0的情况( )。 A. A = 0,BC = 1 B. B = C = 1 C. C = 1,D = 0 D. AB = 0,CD = 0 解:D S10110B 三态门电路的三种可能的输出状态是 , , 。 解:逻辑1、逻辑0、高阻态 S10214B 逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。 A. t 1 B. t 2 C. t 3 解:A Y

S10211I 图示逻辑电路的逻辑式为( )。 A. B. C. 解:B S10212I 逻辑电路如图所示,其功能相当于一个( )。 A. 门 B. 与非门 C. 异或门 解:C S10216B 图示逻辑电路的逻辑式为( )。 A. A +B B. C. AB + 解:C S10217B 逻辑图如图(a )所示,输入A 、B 的波形如图(b ),试分析在t 1瞬间输出F 为( )。 A. “1” B. “0” C. 不定 解:B S10218B 图示逻辑符号的逻辑状态表为( )。 A. B. C. 解:B

门电路及组合逻辑电路复习答案

第九章 门电路及组合逻辑电路 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、最基本的三种逻辑运算是 、 、 。(与、或、非) 5、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 6、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 7、半导体二极管具有 性,可作为开关元件。(单向导电) 8、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 9、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 10、在逻辑门电路中,最基本的逻辑门是 、 和 。(与门、或门、非门) 11、与门电路和或门电路具有 个输入端和 个输出端。(多、一) 12、非门电路是 端输入、 端输出的电路。(单、单) 13、根据逻辑功能的不同特点,逻辑电路可分为两大类: 和 。(组合逻辑电路、时序逻辑电路) 14、组合逻辑电路主要是由 、 和 三种基本逻辑门电路构成的。(与门、或门、非门) 15、(1)2(10011011)(= 8)(= 16) 答:233、9B (2)16()(AE = 2)(= 8) 答:10101110、256 (3)()125(10= 2) (4)()375.13(10= 2) 答:(1)1111101(2)1101.011 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。 (√) 2、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 3、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳)

第3章--组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险?产生竞争-冒险的原因是什么?如何消除竞争-冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图3.55所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表3.1。 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图3.56所示各组合逻辑电路的逻辑功能,写出函数表达式。

第3章组合逻辑电路1

第 3章 组合逻辑电路 逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路), 另一类是时序逻辑电路(简称时序电路)。所谓组合电路是指电路在任一时刻的输出状态只与同一时刻各输入状态的组合有关,而与前一时刻的输出状态无关。组合电路的示意图如图所示。组合逻辑电路的特点: (1) 输出、输入之间没有反馈延迟通路。 (2) 电路中不含记忆元件。 图 组合电路示意图 组合逻辑电路的分析方法和设计方法 (1)3.1.1组合逻辑电路的分析方法 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计 是否合理。 组合逻辑电路的分析步骤如下: (1) 根据已知的逻辑图, 从输入到输出逐级写出逻辑函数表达式。 (2) 利用公式法或卡诺图法化简逻辑函数表达式。 (3) 列真值表, 确定其逻辑功能。 例 1 分析如图所示组合逻辑电路的功能。 解(1) (2)化简 (3) 例真值表:如表3·1所示 图 例 1 的逻辑电路 X 1X 2 X n 12 m 输入信号 输出信号 AC BC AB Y ??=AC BC AB Y ++= A B B C A C Y

表例1的真值表 由表可知,若输入两个或者两个以上的1(或0), 输出Y为1(或0), 此电路在实际应用中可作为多数表决电路使用。 例 2分析如图所示组合逻辑电路的功能。 解(1) 写出如下逻辑表达式: (2) 化简AB Y= 1 AB A Y A Y? = ? = 1 2 B AB B Y Y? = ? = 1 3 B AB AB A Y Y Y? ? = = 3 2 B AB AB A Y? ? = ) ( ) (B AB AB A+ ? + = AB B A+ = B A⊕ =

第三章 组合逻辑电路.

第三章 组合逻辑电路 授课题目: 3.1小规模组合逻辑电路的分析 教学目标: 1、熟练各种门电路的逻辑功能及描述方法。 2、掌握组合逻辑电路的分析方法。 3、小规模组合逻辑电路的设计 教学内容(包括重点、难点): 教学重点:组合逻辑分析步骤,小规模组合逻辑电路设计方法。 教学难点:分析和设计的步骤、思路和注意事项。 教学过程设计 ● 复习并导入新课 问题:1、逻辑电路有哪些表示方法? 2、如何由真值表写出函数表达式? ● 就新课内容提出问题 1、总结如何由具体事件分析输入变量、输出变量和它们的关系? 2、总结如何由具体事件分析输入变量、输出变量和它们的关系? 3、真值表如何写出? ● 讲授新课 3.1 小规模组合逻辑电路的分析和设计 按照逻辑功能的不同特点,可以把数字电路分成两大类,一类叫做组合逻辑电路,另一类叫做时序逻辑电路。 组合逻辑电路的特点:即刻输入,即刻输出。 F A B C

一、组合逻辑电路的分析方法 分析步骤如下: 第一步:写出逻辑函数表达式; 第二步:逻辑表达式进行化简; 第三步:列真值表; 第四步:分析电路的逻辑功能。 注:以上步骤并非一定要遵循,应视具体情况而定,可略去其中的某些步骤。 举例1: 分析上图所示电路的逻辑功能。 解 第一步:写出逻辑表达式。 P=AB N=BC Q=AC F=Q N P ??=AC BC AB ??=AB+BC+AC 第二步:列出真值表。 第三步:逻辑功能描述。由真值表可见,在输入三个变量中,只要有两个以上变量为1,则输出1,所以该电路是一个三变量多数表决器。 二、组合逻辑电路的设计 (一)设计的一般步骤如下: 第一步:分析要求; 第二步:列真值表; 第三步:写出逻辑表达式并化简; 第四步:画逻辑图。 举例2:设计一个三变量多数表决电路,用与非门实现。 解:(1)分析命题; (2

门电路和组合逻辑电路

第十六章 门电路和组合逻辑电路 一 选择题 1、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 2、时序逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的 状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于 电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入 信号无关。 D 、以上均不正确。 3、数据选择器的地址输入端有2个时,最多可以有( )个数据信号 输入。 A 、1 B 、2 C 、4 D 、8 4、数据选择器的地址输入端有3个时,最多可以有( )个数据信号输入。 A 、4 B 、6 C 、8 D 、16 5、组合逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入信号无关。 D 、以上均不正确。 6、下列几种TTL 电路中,输出端可实现线与功能的电路是( )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 7、数据选择器有10个数据信号输入端时,至少得有( )个地址输入端。 A 、2 B 、3 C 、4 D 、5 8、以下哪个电路不是组合逻辑电路( )。 A 、编码器 B 、计数器 C 、译码器 D 、加法器

9、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 10、衡量集成逻辑电路优劣的因数是用它的:( ) A .增益×带宽; B .传输延迟时间×功耗; C .扇出系数×传输延迟时间; D .噪声容限×功耗。 11、以下诸论述中,唯一正确的是:( ) A .可以用OC 门构成电平变换电路; B .ECL 门电路主要用于集成度要求高的场合; C .CM0S 器件不可以和TTL 器件兼容; D .CMOS 器件的电源电压使用范围特别小,对电源的准确性要求严格. 12、集成门电路(不论是与、或、与非…等)的输入端若超过了需要,则这些多余的输入端应按哪种方式去处置才是正确的?( ) A .让它们开路; B .让它们通过电阻接最高电平(例如电源电压); C .让它们接地,或接电源的最低电平; D .让它们和使用中的输入端并接。 13、 以下表达式中符合逻辑运算法则的是( ) A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 14、 当逻辑函数有n 个变量时,共有( )个变量取值组合? A. n B. 2n C. n 2 D. 2n 15、. 逻辑函数的表示方法中具有唯一性的是( ) A .真值表 B.表达式 C.逻辑图 D.卡诺图 16、F=A B +BD+CDE+A D=( ) A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 二 填空题 1.电子电路按功能可分为 电路和 电路。 2.根据电路的结构特点及其对输入信号响应规则的不同,数字电路可分为 和 。 3.数字电路的分析方法主要用 、功能表、 、波形图。 4.数字信号是一系列时间和数值都 的信号。 5.在数字电路中有两种数字逻辑状态分别是逻辑 和逻辑 。 6.逻辑函数F=)(B A A ⊕⊕ =

实验三 组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图3.1所示。 图3.1 组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.2所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图3.2 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.3所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图3.3 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.4所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图3.4 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表3.1。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图3.5所示。

第20章习题2门电路和组合逻辑电路

20章 组合电路 20-0XX 选择与填空题 20-1XX 画简题 20-2XX 画图题 20-3XX 分析题 20-XX 设计题 十二、[共8分]两个输入端的与门、 或门和与非门的输入波形如图 12 所示, 试画出其输出信号的波形。 解: 设与门的输出为F 1, 或门的输出为F 2,与非门的输出为F 3,根据逻辑关系其输出波形如图所示。 20-0XX 选择与填空题 20-001试说明能否将与非门、或非门、异或门当做反相器使用?如果可以,其他输入端应如何连接? 答案 与非门当反相器使用时,把多余输入端接高电平 或非门当反相器使用时,把多余输入端接低电平 异或门当反相器使用时,把多余输入端接高电平 20-002、试比较TTL 电路和CMOS 电路的优、缺点。 A B F 1F 2F 3 (a) (b)

答案 COMS 电路抗干扰能力强,速度快,静态损耗小,工作电压范围宽, 有取代TTL 门电路的趋势。 20-003简述二极管、三极管的开关条件。 答案 二极管:加正向电压导通,相当于开关闭合;反向电压截止,相当于 开关断开。三极管:U BE <0V 时,三极管可靠截止,相当于开关断开; i B 》I BS 时,三极管饱和,相当于开关闭合。 20-0004、同或运算关系,当两输入不相等时,其输出为1;异或运算关系,当两输入相等时,其输出为0; 20-0005、 若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为 _________,或非门的输出为___ ___,同或门的输出为__ __。 20-0006、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 20-0007、逻辑函数有四种表示方法,它们分别是( )、( )、( )和( )。 20-0008、将2004个“1”异或起来得到的结果是( )。 20-0009、是8421BCD 码的是( )。 A 、1010 B 、0101 C 、1100 D 、1101 2)、和逻辑式BC A A + 相等的是( )。 A 、ABC B 、1+B C C 、A D 、BC A + 3)、二输入端的或非门,其输入端为A 、B ,输出端为Y ,则其表达式 Y= ( )。 A 、A B B 、AB C 、B A + D 、A+B 20-0010、若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50

第三章组合逻辑电路

第三章 组合逻辑电路 本章教学目的、要求: 1.掌握组合逻辑电路的分析方法和设计方法。 2.熟悉常用中规模集成组合逻辑电路的工作原理。 3.了解组合电路中的竞争和冒险现象。 重点:组合逻辑电路的分析方法和设计方法。 难点:组合逻辑电路分析中的功能判断 第一节 概述 一、组合逻辑电路的特点 数字电路按逻辑功可分为两大类 1.组合逻辑电路:任意时刻 的输出只取决于该时刻的输 入,与电路原来的状态无关。 2.时序逻辑电路:任意时刻 的输出不仅取决于该时刻的输 入,而且与电路原来的状态有关。 在组合逻辑电路中 例:右图所示电路: CI B A S ⊕⊕=)( AB CI B A CO +⊕=)( 二、逻辑功能的描述 逻辑图、函数式或真值表均能描述,这里用函数式说明: y 1=f 1(a 1,a 2,…a n ) 框图 y 2=f 2(a 1,a 2,…a n ) . . y m =f m (a 1,a 2,…a n ) 1.功能特点: 电路的输出状态不影响输入;电路的输入确定后,输出即确定。 2.结构特点: 电路不包含存储信号的记忆元件;电路不存在从输出到输入的反馈电路。 组合逻辑电路 a 1 y 1 y 2 y m a 2 a n · · · ·

=1 & ≥1 1 A i B i C i S i C i +1 (a ) 全加器 S i C i +1 A i B i C i (b ) =1 第二节、组合逻辑电路的分析方法和设计方法 一、组合逻辑电路的分析方法 所谓逻辑电路的分析,就是找出给定逻辑电路输出和输入之间的逻辑关系,并指出电路的逻辑功能。分析过程一般按下列步骤进行: 1.根据给定的逻辑电路,从输入端开始,逐级推导出输出端的逻辑函数表达式。 2.根据输出函数表达式列出真值表。 3.用文字概括出电路的逻辑功能。 例1:分析图4-2所示组合逻辑电路的逻辑功能。 解:根据给出的逻辑图, 逐级推导出输出端的逻辑函数表达式: 列真值表 由真值表可以看出,在三个输入变量中,只要有两个或两个以上的输入变量为1,则输出函数F 为1,否则为0,它表示了一种“少数服从多数”的逻辑关系。因此可以将该电路概括为:三变量多数表决器。 例2:分析下图所示电路,指出该电路的逻辑功能。 解: ① 写出函数表达式。 ② 列真值表。 ③ 分析功能。 A B C F 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 1 0 1 1 1 A i B i C i C i+1 S i & & & & P 2 P 1P 3 F A B C 图 4-2 AC BC AB AC BC AB P P P F AC P BC P AB P ++=??=??====321321,,i i i i i i i i i i B A C B A C C B A S +⊕=⊕⊕=+)(1

(完整版)第九章门电路及组合逻辑电路复习答案

第九章门电路及组合逻辑电路 一、填空题 1、 模拟信号的特点是在 ______ 和 ______ 上都是 __________ 变化的。(幅度、时间、连续) 2、 数字信号的特点是在 ______ 和 ______ 上都是 __________ 变化的。(幅度、时间、不连续) 3、 数字电路主要研究 ______ 与 ______ 信号之间的对应 ________ 关系。(输出、输入、逻辑) 4、 最基本的三种逻辑运算是 _______ 、 ________ 、 _________ 。(与、或、非) 5、 逻辑等式三个规则分别是 _______ 、 ________ 、 _________ 。(代入、对偶、反演) 6 逻辑函数常用的表示方法有 _________ 、 ________ 和 __________ o (真值表、表达式、卡诺图、逻 辑图、波形图五种方法任选三种即可) 7、 半导体二极管具有 ______ 性,可作为开关元件。(单向导电) 8、 半导体二极管 __________ 时,相当于短路; ______ 时,相当于开路。(导通、截止) 9、 半导体三极管作为开关元件时工作在 __________ 状态和 ___________ 状态。(饱和、截止) 10、 在逻辑门电路中,最基本的逻辑门是 _____ 、 ______ 和 ______ o (与门、或门、非门) 11、 与门电路和或门电路具有 _____ 个输入端和 _____ 个输出端。(多、一) 12、 非门电路是 ___ 端输入、 _______ 端输出的电路。(单、单) 13、 根据逻辑功能的不同特点,逻辑电路可分为两大类: _________ 和 ________ 。(组合逻辑电路、 、判断题 1、十进制数74转换为8421BC [码应当是(01110100) 8421 BCD 。 (V ) 2、 十进制转换为二进制的时候,整数部分和小数部分都要采用除 2取余法。(X ) 3、 若两个函数相等,贝尼们的真值表一定相同;反之,若两个函数的真值表完全相同,贝U 这两个 函数未必相等。(X ) 4、 证明两个函数是否相等,只要比较它们的真值表是否相同即可。 (V ) 时序逻辑电路) 14、组合逻辑电路主要是由 ____ 、 ____ 和 15、 (1) (10011011)2 ( )8 ( (2) (AE )16 ( ) 2 ( )8 (3) (125)10 ( ) 2 (4) (13.375)10 ( )2 答:(1) _三种基本逻辑门电路构成的。(与门、或门、非门) )16 答:233、9B 答:10101110 256 1111101 (2) 1101.011

实验3 组合逻辑电路

实验三组合逻辑电路 一、实验目的 1.掌握组合逻辑电路的设计方法 2.熟悉常用组合逻辑器件的使用方法 3.熟悉用逻辑门电路、74LS138和74LS151进行综合性设计的方法 二、试验设备和器件 设备:数字电子技术试验箱 器件:74LS00,74LS20,74LS86,74LS138,74LS151 三、实验内容 1.实现一位全加器 (1) 按照组合逻辑电路的一般设计步骤,用基本门电路(74LS00,74LS86)实现 一位全加器; (2) 用1片74LS138和1片74LS20实现一位全加器。 2. 设计一个监测信号灯工作状态的逻辑电路,每一组信号灯由红、黄、绿三盏构成,仅有红灯R亮、仅有绿灯G亮、黄灯Y和绿灯G同时亮为正常工作状态,其余为故障状态。故障状态时要发出报警信号。要求用74LS151实现。 (1) 逻辑抽象。红黄绿三盏信号灯的状态为输入变量,分别用R、Y、G表示,并规定灯亮时为1,灭时为0;故障信号为输出变量,用Z表示,并规定正常工作状态下Z为0,发生故障时Z为1; (2) 列真值表于表3-1;

(3) 根据真值表写出用最小项表示的Z的逻辑表达式; (4) 按照逻辑表达式进行电路连接,画出电路连接图,并对电路进行测试。 3. 设计并实现实验指导书中四、3的电话程控系统(选作) 四、实验报告 1.实验预习 (1) 熟练掌握组合逻辑电路的一般设计步骤; (2) 了解74LS00,74LS20,74LS86,74LS138,74LS151的功能表,引脚图和使用注意事项,熟练掌握使用它们实现逻辑函数的方法; (3) 完成实验的预习报告,包括:实验目的、试验设备、布置的实验内容及步骤、原始数据记录表格及设计电路。 2. 实验及数据处理 (1) 根据布置的实验内容认真完成实验中的各项任务,仔细观察实验中的各种现象并加以分析; (2) 完成真值表,记录实验数据并进行分析。 3. 思考题 (1) 3-8线译码器74LS138在正常工作状态下,输入011 ABC 时,哪一个译码输出端为有效电平?由此说明A、B、C中哪一个为高位输入端? (2) 若用74LS138译码器实现数据分配器,应选择74LS138的哪个引脚作为数据分配器的数据输入端? 4.实验的注意事项及主要经验教训

3组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号.与该时刻的输入信号 有关 .与以前的输入信号 无关 。 2.在组合逻辑电路中.当输入信号改变状态时.输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I .输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时.输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时.当输入A 2A 1A 0=001时.输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器.输入信号为两个要比较的一位二进制数.用A 、B 表示.输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ).则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加.并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√.× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险.以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时.输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时.处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中.若输入有4位代码.则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0.当A >B 时输出F =1.则F 表达式是 。

第20章习题1-门电路和组合逻辑电路

第20章习题门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL电路多余输入端 C进行处理(只需一种处理方法),Y i 端应接________________________ ,丫2的C端应接 _______________________ , 费二FqlFl Y I=A+Π S10203G 在F = AB+CD的真值表中,F =1的状态有()。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A、B、C三个输入变量,当 B=I时,其输出为()。 A. 0 B. 1 C. AC D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( A. 饱和 C.饱和或放大 解:D S10204I 逻辑电路如图所示,其逻辑函数式为()。 A. AB AB B. AB AB C. AB AB D. AB A 解:C S10204N 已知F=AB+CD ,选出下列可以肯定使 F = 0的情况()。 S10110B (a) 解:接地、悬空 (b) )。 B.放大 D.饱和或截止 t≈—Y A. C. 解: D A = 0,BC = 1 C = 1, D = 0 B. B = C = 1 D. AB = 0,CD = 0 A ≥ 1 B

三态门电路的三种可能的输出状态是_____________ 解:逻辑1、逻辑O、高阻态

S10214B 逻辑图和输入A, B 的波形如图所示,分析当输出 F 为“1”的时刻应是( )。 A. t ι B. t 2 C. t 3 解:A S10211I 图示逻辑电路的逻辑式为 ( )。 A. F =A B AB B. F=ABAB C. F=(A B)AB 解:B S10212I 逻辑电路如图所示,其功能相当于一个 ( A.门 B.与非门 C.异或门 解:C S10216B 图示逻辑电路的逻辑式为 ( )。 A. F =A B +A B A B. F =AB AB C. F =AB+ A B 解:C S10217B 逻辑图如图(a )所示,输入 A 、B 的波形如图 (b ),试分析在t ι瞬间输出F 为( )。 A. “1” B. “ 0” C. 不定 解:B h (a) (b) S10218B 图示逻辑符号的逻辑状态表为 ( A. B. A B F 0 0 0 0 1 0 1 0 0 1 1 1 A B F 0 0 0 0 1 1 1 0 1 1 1 1 A B F 0 0 1 0 1 1 1 0 1 1 1 C. 解:B T&] A —

数字电子技术第三章(组合逻辑电路)作业及答案

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S =1 =1 & =1

(2)2Y A C B = +() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

相关文档
最新文档