数电教学规划八人智力抢答器

数电教学规划八人智力抢答器
数电教学规划八人智力抢答器

华南理工大学广州学院

中兴3G学院

数字电子技术课程设计报告题目:八人智力抢答器

专业:通信工程

班级:

姓名:

学号: gdpnzzm@163,com

序号:

日期:2013年1 月

目录

一:设计目的 3 二:设计要求和设计指标3三:总体框图设计 3 四:功能模块设计和原理说明 4 五:总电路图与器件11 六:本设计改进建议12 七:总结(感想和心得等)13

一.设计目的

为了让学生更加深刻理解数字电子技术中的各项元器件的原理和运用,开展数字电子技术课程时间,增进学生对知识的理解和运用,增加学生学习兴趣。

二.设计要求和设计指标:

A: 抢答组数分为8组,每组序号分别为1,2,3,4,5,6,7,8,按键SB0~SB7分别对应8个组,抢答者按动本组按键,组号立即在LED显示屏上显示,同时封锁其他组的按键信号

B: 数字抢答器定时为30s,通过按控制键启动抢答器后,要求30s定时器开始工作,发光二极管点亮。

C: 抢答者在30s内进行抢答,则抢答有效,如果30s定时到时,无抢答者,则本次抢答无效,系统短暂报警。

三.总体框图设计

选手

四.功能模块设计和原理说明

本设计主要有555定时器产生的脉冲模块。还有30秒倒计时模块。倒计时模块的设计灵感来源于实验指导书的最后一个实验,电子秒表。八选一抢答模块,还有显示模块和反馈模块。

555定时器模块:

本模块利用书本中第八章,用555定时器组成多谐振荡器的知识,运用公式tpL=R2cln2=0.7R2C

tpH=(R1+R2C)LN2=0.7(R1+R2)C

f=0.7(R1+R2)C+0.7R2C

根据本次课程设计的要求,我们的555需要提供1Hz的时钟脉冲信号,所以我由公式设计得出该电路的电阻应为R1=R2=48K,C=10uf。

另外图中,10000pf电容即10nf电容是滤波电容。

555的脉冲输出口是3号口,我们并不是直接将555连接74LS192芯片,

而是通过一个开关之后,和一个与门之后连接74LS192。这样实现了暂停的功能。这部分将另外说明。

30秒倒计时模块:

30秒倒计时是主要是由74LS192完成功能,74LS192是一个十位加减计数器,本次课程设计中用到的功能是倒计时功能,所以我们将CPu口接高电平。低位片的CPd时钟信号由555定时模块提供。555提供1Hz脉冲让低位片进行倒数,实现1秒减一的功能。

而高位片的CPd时钟脉冲由低位片的TBO借位提供。当低位片芯片从0减到9时,会由TBO产生一个脉冲信号。此时高位片收到低位片的脉冲信号,高位片减一,继续等待下一个时钟脉冲TBO的到来。这样就实现了10秒减一的功能。

另外我们还在PL位接了一个按钮,这个按钮是实现30秒倒计时的置数功能,在实际适用中还充当开始键的功能,这部分功能将另外解说了。由于PL是低电平有效,所以一开始通过一个电阻接了一个高电平,当电阻接高电平到PL 口是,默认电阻为一条导线,当按键的时候按下的时候,VCC经过电阻到达地,此时PL为低电平,所以置位位有效。所以两块芯片分别被置位为如图所示的电压。即:

高位片的D3D2D1D0=0011;

低位片的D3D2D1D0=0000;

这样就实现了30秒的置数。

八人抢答功能模块:

八人抢答模块主要是有74LS148八选一优先编码器和74LS279RS锁存器实现。74LS148的真值表如下:

由真值表可知,当74LS148由无输入到有输入的变化中,GS由高电平变为

低电平,EO由低电平变为高电平。

我们利用E0的变化做成了一个反馈模块,实现了灭零的功能。这部分将在反馈模块中进行说明。

由于74LS148并没有锁存的功能,当优先级低的信号先按下去松开之后,又有了高优先级的信号,74LS148只会输出高优先级的信号,这样就不能实现抢答的公平性。我们就利用了74LS279的锁存功能实现了74LS148只能输入一次,不能重复触发的效果。

首先我们将74LS148的输出口A0A1A2分别连接74LS279的RS锁存器的S端。实现置数功能。而另外一端通过一个电阻连接高电平。

当R端无效的时候,由A2A1A0所输入的S1S2S3进行置位,此时

A2A1A0=S1S2S3;此时有反馈将S1&S2&S3反馈给74LS148的EI使能端,如果有输入,反馈结果为1,则输入使能无效,则无法再进行输入。

R端通过一个电阻连接VCC,另有一端如图所示通过按钮连接地。当按钮按下时候,R端有效实现清零作用,这时候EI使能端有效,从而实现了再次输入进行第二轮抢答功能。

(R端这部分在最后由于功能实现方法跟置数功能实现方法相同,而且起到的作用也都是进行第二次开始的按键,我们就将其合并为同一个按钮,以节省使用者操作次数。)

反馈模块:

反馈模块是实现电路功能的一个重要模块,所以再次单独说明。

反馈模块主要可以分为三个方面,一个是实现30秒倒计时的暂停功能,一个是实现30秒倒计时开始和八人抢答模块的输入使能控制,一个是实现灭零功能。

30秒倒计时到00秒的时候如果没有反馈模块,74LS192将自动减到99秒,而这跟我们的电路要实现的效果不一致。这就需要一个自动反馈的模块。不难观察,当30秒倒计时到00秒的时候,高位片的Q1Q0和低位片的

Q3Q2Q1Q0都是低电平,它们相或也是一个低电平。即

Q1+Q0+Q3+Q2+Q1+Q0=0。若此时与555时钟信号相与,则无时钟信号给低位片CPd,则74LS192不再进行计数。而其他时候,

Q1+Q0+Q3+Q2+Q1+Q0=1,则与555时钟脉冲相与之后,555时钟脉冲信号会跟原来一致。即:

555

CP 0

555

1

CP

而计数停止除了倒计时到0之外还有另外一种情况,就是当有人按下按键的时候应当停止倒计时。

这时联想到74LS148的拓展输出端GS的真值表。GS由没输入到有输入的过程,GS由高电平变为低电平,如果将其与555信号相与则555输出的型号经过与门之后就会变成了0,此时74LS192没有时钟脉冲信号,则74LS192不会进行倒计时。

30秒启动功能是由上述功能引申而来的,一开始置位PL位无效的时候,74LS192的输入电平全为0,输出电平也全为0,此时通过74LS32与门的555信号全为低电平,当按下开关按钮,置数之后输出的

Q1+Q0+Q3+Q2+Q1+Q0=1,则输出的波形和555的波形一致。

与此同时,由于当元器件是第二次启动的时候,74LS27可能保留有上次锁存的数据,这时候需要给锁存器一个清零的信号同时实现74LS148的能够重新输入,这时候由于Q1+Q0+Q3+Q2+Q1+Q0=1通过一个反相器之后则为0,所以EI输入使能有效,则74LS148能重新输入,进行第二次抢答。

我们将启动功能和清零功能结合在一起,减少了按键操作次数,大大简化了电路还有按键操作次数,这是本设计的一大亮点。

原理图如下:

灭零功能:

八人抢答电路中,当没有抢答信号的时候,输出型号为HHHH,与输入为0时相同,这样会造成混淆,但是同时EO的电平在无输入时候和有输入时候是不同的,我利用了这一点,做了一个反馈电路,将EO反馈给RBI和RBO,从而实现无输入时候的灭零功能。

显示模块:

显示模块是由74LS48七段译码显示驱动器和共阴(CK)八段数码管组成的。由于我们使用的是八段数码管,所以我们的“.”不需要用到,所以我们将数码管的.接零,以达成跟我们仿真图一样的效果。

其次,我们在数码管与驱动器之间链接了200欧电阻,以实现限流,避免烧坏二极管。在实际操作中,由于使用了100欧电阻,烧坏了一个数码管,这

是一个深刻的教训。

74LS48 主要是将二进制的数转化为七段数码管的显示引脚电压。

74LS48的引脚图为:

74LS48的真值表如下:

在倒计时模块中,我们没有设置灭零功能,因为这可以给我们一个开始的信

号,而在八选一抢答模块中,我们采用了这种功能,利用GS电位的变化来控制RBI/RBO的电位变化。从而实现灭零功能。此功能在反馈模块中说明,在此不再重复说明。

五.总电路图与器件

总电路图如下:

总电路如上,总电路可以分为两大部分,一个是30秒倒计时部分,一部分是八选一抢答功能模块,两个模块由反馈模块进行连接。

元器件的清单见下页

该元器件清单有ISIS仿真自动生成,实际应用中,LED灯采用红色LED灯。六.本设计改进建议

在实物连接过程中,由于PCB板布线出现问题,临时改用万用板,用杜邦线进行连接。但是由于杜邦线接触不好,有时候暂停的按键会有出现直接清零的现象,建议再次试用PCB板进行制版完成电路功能。

由于时间紧促,在后期操作中,8人抢答功能出现故障,由于时间紧促,电路检修不够,建议再次检查按键,以完成所有功能。主要是利用PCB板,排除干扰,便于检查。由于此一大模块的出错,我们的电路只完成了30秒倒计时的功能。

由于时间紧促,队员都是通宵熬夜制作板,在有些板的布线上不美观,而且在清零功能的实现上,没有使用按键,而是使用杜邦线,这样操作起来不方便,建议使用按键清零。

由于反馈模块中,有一部分电路出现了故障,在后期我们将其临时修改方案,让其无反馈。本是实现有按键就停止计数,后期没有实现。这部分需要检查电路并进行改进。

555模块中的1Hz波形可以变成10Hz波形,然后再通过74LS160进行分频,从而达到更精确的时间倒计时。

七.总结(感想和心得等)

数字电子技术课程设计评语

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

四人智力抢答器的设计

四人智能抢答器设计报告

目录 摘要---------------------------------------------------------------------------3前言---------------------------------------------------------------------------4第一章设计要求----------------------------------------------------------5 1.1设计任务-------------------------------------------------------------5 1.2基本要求-------------------------------------------------------------5 1.3选用器材-------------------------------------------------------------5 第二章系统工作原理------------------------------------------6 2.1系统的工作原理-----------------------------------------------------6 第三章电路设计--------------------------------------------------------------7 3.1方案的选择-----------------------------------------------------------7 3.1.1方案一----------------------------------------------------------7 3.1.2方案二----------------------------------------------------------7 3.1.3确定方案-------------------------------------------------------7 3.2单元电路设计--------------------------------------------------------8 3.2.1以锁存器为中心的编码显示电路----------------------------------------------8 3.2.2倒计时显示电路的设计---------------------------------------------8 3.2.3报警电路的设计---------------------------------------------9 3.2.4脉冲产生电路的设计----------------------------------------------10 3.3整体电路--------------------------------------------------------10 3.4 555多谐振荡器的脉冲波形图--------------------------------------------------------11 3.5电路元件选择--------------------------------------------------------12 第四章电路实验与调试---------------------------------------------------13 4.1焊接--------------------------------------------------------------------13 4.2调试中出现的问题及解决-----------------------------------------13 4.3P C B板图--------------------------------------------------------14 4.4焊接的实物图--------------------------------------------------------14 第五章设计总结-----------------------------------------------------------15 参考文献-----------------------------------------------------------15

智能抢答器的设计与实现

课程设计任务书 学生姓名:王双双专业班级:通信1105 指导教师:李政颖工作单位:武汉理工大学 题目: 智能抢答器的设计与实现 初始条件: 本设计既可以选用集成电路:74LSl48,74LS279,74LS48,74LSl92,NE555,74LS00,74LSl21 和其它器件等,实现八路定时抢答功能;又可以使用单片机系统构建多路数字定时抢答器。要求 用蜂鸣器和光电二极管作声光报警器件,工作电源Vcc为+5V。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)可同时供8名选手(或代表队)参赛,其编号分别是0到7,各用一个抢答按钮,按钮的编号 与选手的编号相对应;给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 2)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并 在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 3)抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目主持人启 动“开始”键后,要求定时器立即进行减计时,并用显示器进行显示,同时扬声器发出短暂的声 响,声响持续时间0.5秒左右。 4)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和 抢答时刻的时间,并保持到主持人将系统清零为止。 5)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统进行短暂的报警,并封锁 输入电路,禁止选手超时后抢答,定时显示器上显示00。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用 A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 5 月18 日至2013 年6 月20 日,方案选择和电路设计。 3、2013 年6 月21 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月2日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

基于plc的8人抢答器设计

学号:2014012304 控制技术课程设计报告 题目:抢答器PLC控制系统设计 学院(系):机械与电子工程学院 专业年级:机电143 学生姓名:黄颖石 指导教师:刘利王转卫 完成日期:2017年7月10日

目录 1、设计目的及要求 (1) 1.1 设计的目的 (1) 1.2 课程设计的任务要求 (1) 2、设计方案 (1) 2.1整体功能介绍 (1) 2.2基本原理框图 (2) 2.3整体流程设计 (2) 2.4系统所用元器件 (4) 2.5 PLC的选型 (4) 2.6 外部接线设计 (5) 3、程序设计 (6) 4、系统调试及分析 (9) 4.1抢答举例 (9) 4.2程序的组态仿真 (10) 5、设计心得体会 (12) 参考文献 (13) 附录: (14)

1、设计目的及要求 1.1 设计的目的 (1)结合实际抢答器的工作情况,设计抢答器信号控制原理设计,掌握复杂情况下抢答器定时及减数功能的实现。 (2)进一步熟悉控制系统设计中元器件选型,及组态环境下控制系统仿真与调试,掌握控制系统原理设计、硬件系统设计、软件系统设计、创新设计。 (3)提高理论知识工程应用能力、系统调试能力、分析问题与解决问题的能力。 1.2 课程设计的任务要求 (1)抢答器同时供8名选手或8个代表队比赛分别用8个按钮S0~S7表示。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 (4)抢答器具有定时抢答功能,,且一次抢答的时间由主持人设定(如30秒)。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声音,声响持续的时间0.5秒左右。 (5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 (6)如果定时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 2、设计方案 2.1整体功能介绍 竞赛抢答器,顾名思义就是用于比赛时,跟对手比反应时间,思维运转快慢的新型电器。随着社会科技技术的不断发展,它的应用场合也随之增加;技术含

八路抢答器课程设计

电子技术 课程设计 题目:八路抢答器的设计 学院(系): 专业班级:电子132 学生姓名:学生学号:13446413 指导教师: 设计时间:2015年6 月22日 2015年7月15日

电子技术课程设计任务书2 学院电子(怀)132 班同学:

计算机教研室指导教师_

目录 摘要-------------------------------------------------------------------------------------1 1八路抢答器-----------------------------------------------------------------------------------------2 1.1前言------------------------------------------------------------------------------------------------2 1.2八路抢答器功能-----------------------------------------2 2系统的组成及工作原理--------------------------------------2 2.1系统组成框图--------------------------------------------------------------------------------- 3 2.2系统的工作原理------------------------------------------------------------------------------ 3 3电路设计--------------------------------------------------- 4 3.1方案的选择------------------------------------------------------------------------------------ 4 3.1.1方案一---------------------------------------------------------------------------------------4 3.1.2方案二---------------------------------------------------------------------------------------5 3.1.3方案的选择---------------------------------------------------------------------------------5 3.2单元电路的设计------------------------------------------------------------------------------ 5 3.2.1抢答电路的设计---------------------------------------------------------------------------5 3.2.2定时电路的设计-------------------------------------------------------------------------11 3.2.3触发器电路的设计----------------------------------------------------------------------13 3.2.4多谐振荡器电路的设计----------------------------------------------------------------15 3.2.5秒脉冲产生电路的设计----------------------------------------------------------------16 4性能的测试------------------------------------------------17 5体会与总结------------------------------------------------------------------------------------- 18 参考文献-------------------------------------------------------------------------------------------18 附录:元器件列表----------------------------------------------------------------------------- 19

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

8人智力竞赛抢答器的设计

数字与模拟电子线路课程设计报告书 课题名称 8人智力竞赛抢答器的设计 姓 名 彭先觉 学 号 0812401*09 院、系、部 物理与电信工程系 专 业 通信工程 指导教师 崔宪普 2010年1月7号 ※※※※※※※※※ ※※ ※※ ※ ※ 2008级数字与模拟电 子电路课程设计

一、设计任务及要求: 设计任务: 设计一个具有锁存与显示功能的8人防作弊逻辑抢答电路。 要求: 1. 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮1~ 8表示。每个参赛者控制一个按钮,用按动按钮发出抢答信号。 2. 设置一个系统清除和抢答控制开关So,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。竞赛开始后,先由主持人将“开始/清零”按键按下,然后先按动按钮的参赛者将被显示器显示出来,此后其他三人参赛者再按动按钮对电路不起作用。优先抢答的参赛者编号将一直被显示直至主持人将系统清零。另外,在主持人按下“开始/清零”键时,计时器开始计时,时间为三十秒,在规定的时间内,仍无人作答,系统将发出蜂鸣声示警,抢答结束。 指导教师签名: 2010年1月7日 二、指导教师评语: 指导教师签名: 2009年12 月28日 三、成绩 验收盖章 2010年1月日

8人智力抢答器的设计 1 设计目的 (1)熟悉集成电路的引脚安排。 (2)掌握CD4511芯片的逻辑功能及使用方法。 (3)掌握计时器的制作与原理。 (4)了解数字抢答器的组成及工作原理。 (5)熟悉数字抢答器的设计与制作。 2 设计思路 (1)设计主体电路(即抢答器) (2)设计支路(计时器) 3 设计过程 3.1设计方案 抢答器总体方框图如图1所示: 图1 抢答器总体方框图 其工作原理为:当抢答比赛开始时,主持人按下“开始/清零”开关,接通电源,抢答器开始工作,定时器倒计时,如选手在规定的时间内抢答,则抢答器完成:优先判断、编号锁存、编号显示等一系列动作,当一轮抢答之后,定时器停止、禁止二次抢答。如在规定的时间内仍无人抢答,则计时器不会停止工作,直至时间结束时蜂鸣器发出声音报警宣告抢答结束,如果再次抢答必须由主持人再次执行上述操作。

数字电子课程设计_4路抢答器课程设计报告

一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。 三、原理电路设计: 1、方案比较; 方案一: 抢答电路:使用74ls175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 方案二: 锁存电路采用CD4042来触发,如果用CD4042,则可以用低电平触发,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,CD4042 立即被锁存,同 RC端来将时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位0 锁存器的信号置零。而不是像方案一那样使用max/min端。

基于plc的8人抢答器设计

学号:2014012304 控制技术课程设计报告 题目: 抢答器PLC控制系统设计 学院(系): 机械与电子工程学院 专业年级: 机电143 学生姓名: 黄颖石 指导教师: 刘利王转卫 完成日期: 2017年7月10日

目录 1、设计目的及要求 (1) 1、1 设计的目的 (1) 1、2 课程设计的任务要求 (1) 2、设计方案 (1) 2、1整体功能介绍 (1) 2、2基本原理框图 (2) 2、3整体流程设计 (2) 2、4系统所用元器件 (4) 2、5 PLC的选型 (4) 2、6 外部接线设计 (5) 3、程序设计 (6) 4、系统调试及分析 (9) 4、1抢答举例 (9) 4、2程序的组态仿真 (10) 5、设计心得体会 (12) 参考文献 (13) 附录: (14)

1、设计目的及要求 1、1 设计的目的 (1)结合实际抢答器的工作情况,设计抢答器信号控制原理设计,掌握复杂情况下抢答器定时及减数功能的实现。 (2)进一步熟悉控制系统设计中元器件选型,及组态环境下控制系统仿真与调试,掌握控制系统原理设计、硬件系统设计、软件系统设计、创新设计。 (3)提高理论知识工程应用能力、系统调试能力、分析问题与解决问题的能力。 1、2 课程设计的任务要求 (1)抢答器同时供8名选手或8个代表队比赛分别用8个按钮S0~S7表示。 (2)设置一个系统清除与抢答控制开关S,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 (4)抢答器具有定时抢答功能,,且一次抢答的时间由主持人设定(如30秒)。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声音,声响持续的时间0、5秒左右。 (5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号与抢答的时间,并保持到主持人将系统清除为止。 (6)如果定时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 2、设计方案 2、1整体功能介绍 竞赛抢答器,顾名思义就就是用于比赛时,跟对手比反应时间,思维运转快慢的新型电器。随着社会科技技术的不断发展,它的应用场合也随之增加;技术含量

数电四人抢答器

电子技术课程设计课题:数电四人抢答器 院系:电气与电子工程学院 专业:电气信息类 班级:电气1201班 姓名:周***** 学号:12******** 武汉轻工大学 2014年6月15日

目录 绪论 (2) 一、设计任务和要求 (2) 1.1 设计任务 (2) 1.2 设计要求 (2) 二、方案设计与论证 (3) 2.1 抢答器的基本工作原理 (3) 2.2 系统框图 (3) 2.3 方案比较 (4) 三、单元电路设计 (4) 3.1 抢答器控制电路 (4) 3.2 编码器电路的设计 (5) 3.3 定时电路 (6) 3.4 复位电 (7) 3.5 报警电路 (8) 3.6 时序控制电路 (9) 3.7 译码器电路和数码管显示电路的设计 (10) 四、总电路工作原理及元器件清单 (10) 4.1 总电路原理图 (10) 4.2 元件清单 (12) 五、硬件电路的调试 (13) 5.1 仿真软件简介 (13) 5.2仿真结果 (14) 六、设计过程中的问题和解决办法 (14) 七、设计成品的优点与不足 (14) 八、结论与心得 (15)

绪论 基于数字电子技术的设计创新和产品创新看起来似乎永无止境,而且它们也的的确确在方方面面日益完善和丰富着我们每个人的日常生活和工作。随着科技的进步和社会的发展,现代电子产品设计越来越注重产品的易使用型,人机界面一定要良好。声音、图象等作为人类交往的最重要手段,也被体现在电子产品设计中。采用一颗语音芯片,让产品开口说话,可以起到强化宣传品牌、指导用户使用、故障紧急提示、娱乐等功能,使产品设计新颖实用、先声夺人、出奇制胜。 一、设计任务和要求 1.1设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 1.2设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时

四人智力竞赛抢答器资料

四人智力竞赛抢答器

4人智力竞赛抢答器 内容摘要: 该抢答器用数字显示抢答倒计时时间,由“9”倒计到“0”时,蜂鸣器连续响0.5秒。选手抢答时,显示选手号,同时蜂鸣器响1秒,倒计时停止。 该电路采用石英晶体振荡器产生频率为1Hz的脉冲信号,起振快,定时精度高,使用方便。 抢答器电路:该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 定时电路:节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置。 抢答具有数据锁存功能。并将所存数据用LED七段数字显示器显示出来。同时蜂鸣器发出间歇式声响持续时间为1秒。主持人清零后声音提示会立即停止。 音响电路:扬声器发生指示开始抢答、参赛选手按键抢答选中、无人抢答且抢答时间到。 时序控制电路:时序控制电路是抢答器设计的关键,它要完成以下三项功能: ①主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。 ②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。 ③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。 一、设计内容及要求: 1. 设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。 2. 设计要求: 1)4名选手编号为;1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应, 也分别为1,2,3,4。 2)给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的 开始。 3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手 编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封 锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系 统清零为止。 4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,要求定时器开始

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

数电课程设计——四人抢答器

课程设计说明书 课程名称:数字电子技术、模拟电子技术 设计题目:四人抢答器 院系:电子信息与电气工程学院 学生姓名:曹光宇 学号:0021 专业班级:电子信息工程2010级1班 指导教师:胡万里 2012年5月25日

课程设计任务书设计题目四人抢答器 学生姓名曹光宇所在院系电子信息与电 气工程学院 专业、年级、班 电子信息工程 2010级1班 设计要求: 1、设计制作一个可容纳四个组参赛的抢答器,每组一个抢答开关; 2、设置一个抢答开始按键,同时设置抢答定时电路,且计时起点与抢答命令同步,计时终点是第一个抢答者的抢答信号到来,超时而无人抢答题目作废; 3、系统具有第一抢答信号鉴别和锁存功能,主持人发布抢答命令后,第一抢答者按下抢答键后,电路应记下第一抢答者的组别,并封锁其他各组的抢答信号,即其他任何一组的抢答信号都不会使电路响应; 4、系统采用声光指示第一抢答者:用扬声器提示第一抢答者产生;用发光二极管指指示第一抢答者。 学生应完成的工作: 设计一个四人抢答器的电路,并利用Multisim软件进行电路仿真。利用DXP 软件绘制电路原理图,并设计制作电路的PCB板。根据设计原理对电路进行安装、调试,完成课程设计工作,并提交课程设计报告。 参考文献阅读: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2]臧春华.电子线路设计与应用[M].北京:高等教育出版社,2005. [3]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [4]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. [5]张阳天,韩异凡Protel DX P电路设计[M].北京:高等教育出版社,2005. 工作计划: 5月14号—16号完成原理图的设计;5月17号—28号进行PCB设计;5月21号—23号制作PCB 板;5月24号—25号电路板安装与调试,提交课程设计报告。 任务下达日期: 2012 年 5 月 14 日 任务完成日期: 2012 年 5 月 25 日 指导教师(签名):学生(签名):

八人抢答器的课程设计

郑州电力职业技术学院毕业生设计 题目:八人抢答器课程设计 系别:电力工程系 专业:供用电技术 班级:09供电三班 学号: 姓名:张华永 设计成绩指导教师赤娜 答辩成绩主答辩教师 综合成绩答辩委员会主任 目录 一引言 (4) 1.1 设计要求 (4) 1.2 功能要求 (4) 1.3 功能介

绍 (4) 二方案设计与论证 (4) 2.1 各部分电路简述 (4) 2.2 设计方案简述 (5) 三系统分析与设计 (5) 3.1 抢答器电路设计与相关元器件 (5) 3.2 定时电路设计与相关元器件 (7) 3.3 报警电路设计与相关元器件 (9) 3.4 时序电路与相关元器件 (9) 3.5 智力抢答器电路原理图 (10)

3.6 元器件清单 (11) 设计总结体会 (13) 参考文献 (14) 摘要 随着电子技术的发展,它在各个领域的应用也越来越广泛。人们对它的认识也逐步加深。人们也利用了电子技术以及相关的知识解决了一些实际问题。如:智能抢答器的设计与制作。抢答器是竞赛问题中一种常用的必备装置,从原理上讲,它是一种典型的数字电路。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;主持人按开始按钮示意开始,以上两部分组成主体电路。通过定时电路实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。在抢答电路中利用一个优先编码器译出最先抢到答题权的选手的编号并经LED显示器显示出来,同时还要封锁电路以防其他选手再抢答。当选手答题完成后,主持人将系统恢复至零。 关键词:抢答;计时;锁存 一引言 (一)设计要求 1.设计一个智力抢答器,可同时供8名选手或8个代表队参加比赛. 他们的编号分别是1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。

数字电路课程设计 四人智力竞赛抢答器

题目 一、设计任务和要求: 1.设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响0.5秒。选手抢答时,数码显示选手组号,同时蜂鸣器响0.5秒,倒计时停止。 2.设计要求 (1)、4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)、给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)、抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续0.5秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续0.5秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

(5)、如果抢答定时已到,却没有选手抢答时,本次抢答无效。系 统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)、用石英晶体振荡器产生频率为1H z 的脉冲信号,作为定时计 数器的CP 信号。 二、总体方案选择: 电路主要由脉冲产生电路、锁存电路、编码及译码显示电路、倒 计时电路和音响产生电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7段译码器将数字显示在显示器上同时产生音响。主持人宣布开始抢答时,倒计时电路启动由9计到0,如有选手抢答,倒计时停止。电路系统结构如图2-4: 三、单元电路设计 1、控制电路 1) CD4511器件简介 CD4511是一块BCD-十进制七段译码/驱动器课本上不曾讲过,它 带有锁存端口,其功能比书上我们学过的74HC4511CMOS 七段显示时序控制电路 数码显示 优先编码器 锁存器译码器 选手 脉冲电路 定时电路 译码器 数码显示 扬声器 主持人

相关文档
最新文档