电子信息面试问题大全

电子信息面试问题大全
电子信息面试问题大全

电子信息、通信、电类专业将会遇到的面试题大全!精!看了让人大吃一惊...

模拟电路

1、基尔霍夫定理的内容是什么?(仕兰微电子)

基尔霍夫电流定律是一个电荷守恒定律,即在一个电路中流入一个节点的电荷与

流出同一个节点的电荷相等.

基尔霍夫电压定律是一个能量守恒定律,即在一个回路中回路电压之和为零.

2、平板电容公式(C=εS/4πkd)。(未知)

3、最基本的如三极管曲线特性。(未知)

4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈

);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善

放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未

知)

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。

(未知

8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器)

,优缺点

,特别是广泛采用差分结构的原因。(未知)

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。

(未知

11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶

体管级的

运放电路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输

出端某点

的rise/fall时间。(Infineon笔试试题)

15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压

和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波

器,何为低通滤波器。当RC<< period - setup ? hold

16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min。

组合逻辑电

路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满

足什么条件.(华为)

17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有clock的

delay,写出决定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06上

海笔试试题)

18、说说静态、动态时序模拟的优缺点。(威盛VIA

2003.11.06上海笔试试题)

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛

VIA2003.11.06上海笔试试题)

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给

出输入,使得输出依赖于关键路径。(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(

区别,优点),全加器等等。(未知)

22、卡诺图写出逻辑表达使。(威盛VIA 2003.11.06上海笔试试题)23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)24、please show the CMOS inverter schmatic,layout and its cross

sectionwith P-

well process.Plot its transfer curve (Vout-Vin) And also explain theoperation

region of PMOS and NMOS for each segment of the transfer curve?(威

盛笔试题c

ircuit design-beijing-03.11.09)

25、To design a CMOS invertor with balance rise and fall time,pleasedefine th

e ration o

f channel width of PMOS and NMOS and explain?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子

27、用mos管搭出一个二输入与非门。(扬智电子笔试)

28、please draw the transistor level schematic of a cmos 2inputAND gate and explain whichinputhas faster response foroutput rising edge.(less delay time)。(威盛笔试题circuit design-

beijing-03.11.09)

29、画出NOT,NAND,NOR的符号,真值表,还有transistorlevel的电路。

(Infineon笔试)

30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06上海

笔试试题)

31、用一个二选一mux和一个inv实现异或。(飞利浦-大唐笔试)32、画出Y=A*B+C的cmos电路图。(科广试题)

33、用逻辑们和cmos电路实现ab+cd。(飞利浦-大唐笔试)34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。(仕兰微电子)

35、利用4选1实现F(x,y,z)=xz+yz’。(未知)

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上

就是化简).

37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点

波形。(Infineon笔试)

38、为了实现逻辑(AXORB)OR(CANDD),请选用以下逻辑中的一种,并

说明为什么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR答案:NAND (未

知)

39、用与非门等设计全加法器。(华为)

40、给出两个门电路让你分析异同。(华为)

41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子)

42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1

的个数比0多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制

。(未知)

43、用波形表示D触发器的功能。(扬智电子笔试)

44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试)

45、用逻辑们画出D触发器。(威盛VIA 2003.11.06上海笔试试题)46、画出DFF的结构图,用verilog实现之。(威盛)

47、画出一种CMOS的D锁存器的电路图和版图。(未知)

48、D触发器和D锁存器的区别。(新太硬件面试)

49、简述latch和filp-flop的异同。(未知)

50、LATCH和DFF的概念和区别。(未知)

51、latch与register的区别,为什么现在多用register.行为级描述中latch如

何产生的.(南山之桥)

52、用D触发器做个二分颦的电路.又问什么是状态图。(华为)53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)55、How many flip-flop circuits are needed to divide by 16? (Intel)16分频?

56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next- stage.(未知)

57、用D触发器做个4进制的计数。(华为)

58、实现N位Johnson Counter,N=5。(南山之桥)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢

?(仕兰微电子)

60、数字电路设计当然必问Verilog/VHDL,如设计计数器。(未知)61、BLOCKING NONBLOCKING赋值的区别。(南山之桥)

62、写异步D触发器的verilog module。(扬智电子笔试)

module dff8(clk , reset, d, q);

inputclk;

inputreset;

input[7:0] d;

output [7:0] q;

reg [7:0] q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

63、用D触发器实现2倍分频的Verilog描述?(汉王笔试)module divide2( clk , clk_o, reset);

inputclk , reset;

output clk_o;

wire in;

reg out ;

always @ ( posedge clk or posedge reset)

if ( reset)

out <= 0;

else

out <= in;

assign in = ~out;

assign clk_o = out;

endmodule

64、可编程逻辑器件在现代电子设计中越来越重要,请问:a)你所知道的可编

程逻辑器件有哪些?b)试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。(汉

王笔试)

PAL,PLD,CPLD,FPGA。

module dff8(clk , reset, d, q);

inputclk;

inputreset;

inputd;

output q;

reg q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

65、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子)66、用VERILOG或VHDL写一段代码,实现10进制计数器。(未知)67、用VERILOG 或VHDL写一段代码,实现消除一个glitch。(未知)68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容

易误解的)

。(威盛VIA 2003.11.06上海笔试试题)

69、描述一个交通信号灯的设计。(仕兰微电子)

70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试)

71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回

钱数。(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设

计的要求。(未知)

72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找

零:(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计

的要求;(3)设计工程中可使用的工具及设计大致过程。(未知)73、画出可以检测10010串的状态图,并verilog实现之。(威盛)74、用FSM实现101101的序列检测模块。(南山之桥)

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0。例如a:

0001100110110100100110

b:0000000000100100000000

请画出state machine;请用RTL描述其state machine。(未知)75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写)。

(飞

利浦-大唐笔试)

76、用verilog/vhdl写一个fifo控制器(包括空,满,半满信号)。(飞利浦-

大唐笔试)

77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx

,其中,x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数

。电源电压为3~5v假

设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。

(仕兰微电子)

78、sram,falsh memory,及dram的区别?(新太硬件面试)79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官

205页图9-14b),问你有什么办法提高refresh time,总共有5个问题,记不起

来了。(降低温度,增大电容存储容量)(Infineon笔试)

80、Please draw schematic of a common SRAM cell with 6

transistors,point out which nodes can store data and which node is

word line control?(威盛笔试题circuit design-beijing-03.11.09)81、名

词:sram,ssram,sdram

名词IRQ,BIOS,USB,VHDL,SDR

IRQ: Interrupt ReQuest

BIOS: BasicinputOutput System

USB: Universal Serial Bus

VHDL: VHIC Hardware Description Language

SDR: Single Data Rate

压控振荡器的英文缩写(VCO)。动态随机存储器的英文缩写(DRAM)。

名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline

IRQ,BIOS,USB,VHDL,VLSIVCO(压控振荡器)RAM(动态随机存储器),FIR IIR

DFT(离散傅立叶变换)或者是中文的,比如:a.量化误差b.直方图c.白平衡

____________________________________________________________IC设计基础(流程、工艺、版图、器件)

1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与

集成电路相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC

、DSP、ASIC、FPGA等的概念)。(仕兰微面试题目)

2、FPGA和ASIC的概念,他们的区别。(未知)

答案:FPGA是可编程ASIC。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的

。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半

定制集成电路。与门

阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期

短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时

在线检验等优点

3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)

4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目)

5、描述你对集成电路设计流程的认识。(仕兰微面试题目)

6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目)

7、IC设计前端到后端的流程和eda工具。(未知)

8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.

(未知)

9、Asic的design flow。(威盛VIA 2003.11.06上海笔试试题)10、写出asic 前期设计的流程和相应的工具。(威盛)

11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试)先介绍下IC开发流程:

1.)代码输入(designinput)

用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码语言输入工具

:SUMMIT VISUALHDL MENTOR RENIOR

图形输入: composer(cadence); viewlogic (viewdraw)

2.)电路仿真(circuit simulation)

将vhd代码进行先前逻辑仿真,验证功能描述是否正确数字电路仿真工具:

Verolog:CADENCE Verolig-XL

SYNOPSYS VCS

MENTOR Modle-sim

VHDL : CADENCE NC-vhdl

SYNOPSYS VSS

MENTOR Modle-sim

模拟电路仿真工具:

***ANTI HSpice pspice,spectre micro microwave: eesoft : hp

3.)逻辑综合(synthesis tools)

逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将

初级仿真中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电

路仿真阶段进行再

仿真。最终仿真结果生成的网表称为物理网表。12、请简述一下设计后端的整个流程?(仕兰微面试题目)

13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些

基本元素?(仕兰微面试题目)

14、描述你对集成电路工艺的认识。(仕兰微面试题目)

15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?(仕兰

微面试题目)

16、请描述一下国内的工艺现状。(仕兰微面试题目)

17、半导体工艺中,掺杂有哪几种方式?(仕兰微面试题目)18、描述CMOS电路中闩锁效应产生的过程及最后的结果?(仕兰微面试题目)

19、解释latch-up现象和Antenna effect和其预防措施.(未知)20、什么叫Latchup?(科广试题)

21、什么叫窄沟效应?(科广试题)

22、什么是NMOS、PMOS、CMOS?什么是增强型、耗尽型?什么是PNP、NPN?他

们有什么差别?(仕兰微面试题目)

23、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求?

(仕兰微面试题目)

24、画出CMOS晶体管的CROSS-OVER图(应该是纵剖面图),给出所有可能的传输

特性和转移特性。(Infineon笔试试题)

25、以interver为例,写出N阱CMOS的process流程,并画出剖面图。(科广试题

26、Please explain how we describe the resistance in https://www.360docs.net/doc/8c14004076.html,pare th

e resistance o

f a metal,poly and diffusion in tranditional CMOS

process.(威盛

笔试题circuit design-beijing-03.11.09)

27、说明mos一半工作在什么区。(凹凸的题目和面试)

28、画p-bulk的nmos截面图。(凹凸的题目和面试)

29、写schematic note(?),越多越好。(凹凸的题目和面试)30、寄生效应在ic设计中怎样加以克服和利用。(未知)

31、太底层的MOS管物理特性感觉一般不大会作为笔试面试题,因为全是微电子

物理,公式推导太罗索,除非面试出题的是个老学究。IC设计的话需要熟悉的软

件: Cadence,Synops

ys, Avant,UNIX当然也要大概会操作。

32、unix命令cp -r, rm,uname。(扬智电子笔试)

____________________________________________________________单片机、MCU、计算机原理

1、简单描述一个单片机系统的主要组成模块,并说明各模块之间的数据流流向

和控制流流向。简述单片机应用系统的设计原则。(仕兰微面试题目)2、画出8031与2716(2K*8ROM)的连线图,要求采用三-八译码器,8031的

P2.5,P2.4和P2.3参加译码,基本地址范围为3000H-3FFFH。该2716有没有重叠

地址?根据是什么?若有,则写出每片2716的重叠地址范围。(仕兰微面试题目

3、用8051设计一个带一个8*16键盘加驱动八个数码管(共阳)的原理图。(仕

兰微面试题目)

4、PCI总线的含义是什么?PCI总线的主要特点是什么?(仕兰微面试题目)

5、中断的概念?简述中断的过程。(仕兰微面试题目)

6、如单片机中断几个/类型,编中断程序注意什么问题;(未知)

7、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051完成。简

单原理如下:由P3.4输出脉冲的占空比来控制转速,占空比越大,转速越快;

而占空比由K7-K0八个开关来设置,直接与P1口相连(开关拨到下方时为"0",拨

到上方时为"1",组成一个八位二进制数N),要求占空比为N/256。(仕兰微面

试题目)

下面程序用计数法来实现这一功能,请将空余部分添完整。

MOV P1,#0FFH

LOOP1:MOV R4,#0FFH

--------

MOV R3,#00H

LOOP2:MOV A,P1

--------

SUBB A,R3

JNZ SKP1

--------

SKP1:MOV C,70H

MOV P3.4,C

ACALL DELAY:此延时子程序略

--------

--------

AJMP LOOP1

8、单片机上电后没有运转,首先要检查什么?(东信笔试题)9、What is PC Chipset?(扬智电子笔试)

芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,

通常分为北桥芯片和南桥芯片。北桥芯片提供对CPU的类型和主频、内存的类型

和最大容量ISA/PCI/A

GP插槽、ECC纠错等支持。南桥芯片则提供对KBC(键盘控制器)、RTC (实时时

钟控制器)、USB(通用串行总线)、Ultra DMA/33(66)EIDE数据传输方式和

ACPI(高级能

源管理)等的支持。其中北桥芯片起着主导性的作用,也称为主桥(HostBridge)。

除了最通用的南北桥结构外,目前芯片组正向更高级的加速集线架构发展,

Intel的8xx系列芯片组就是这类芯片组的代表,它将一些子系统如IDE接口、音

效、MODEM和USB直接

接入主芯片,能够提供比PCI总线宽一倍的带宽,达到了266MB/s。

10、如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问

题。(未知)

11、计算机的基本组成部分及其各自的作用。(东信笔试题)

12、请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口

、控制接口、所存器/缓冲器)。(汉王笔试)

13、cache的主要部分什么的。(威盛VIA 2003.11.06上海笔试试题)14、同步异步传输的差异(未知)

15、串行通信与同步通信异同,特点,比较。(华为面试题)

16、RS232c高电平脉冲对应的TTL逻辑是?(负逻辑?)(华为面试题)

____________________________________________________________信号与系统

1、的话音频率一般为300~3400HZ,若对其采样且使信号不失真,其最小的采样

频率应为多大?若采用8KHZ的采样频率,并采用8bit的PCM编码,则存储一秒钟

的信号数据量有多大?(仕兰微面试题目)

2、什么耐奎斯特定律,怎么由模拟信号转为数字信号。(华为面试题)

3、如果模拟信号的带宽为5khz,要用8K的采样率,怎么办?lucent)两路?

4、信号与系统:在时域与频域关系。(华为面试题)

5、给出时域信号,求其直流分量。(未知)

6、给出一时域信号,要求(1)写出频率分量,(2)写出其傅立叶变换级数;

(3)当波形经过低通滤波器滤掉高次谐波而只保留一次谐波时,画出滤波后的

输出波形。(未知)

7、sketch连续正弦信号和连续矩形波(都有图)的傅立叶变换。(Infineon笔

试试题)

8、拉氏变换和傅立叶变换的表达式及联系。(新太硬件面题)

____________________________________________________________DSP、嵌入式、软件等

1、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如

果没有,也可以自己设计一个简单的数字信号处理系统,并描述其功能及用途。

(仕兰微面试题目)

2、数字滤波器的分类和结构特点。(仕兰微面试题目)

3、IIR,FIR滤波器的异同。(新太硬件面题)

4、拉氏变换与Z变换公式等类似东西,随便翻翻书把如.h(n)=-a*h(n-1)+b*δ

(n)a.求h(n)的z变换;b.问该系统是否为稳定系统;c.写出FIR数字滤波器的差

分方程;(未知)

5、DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP 结构图

。(信威dsp软件面试题)

6、说说定点DSP和浮点DSP的定义(或者说出他们的区别)(信威dsp软件面试

题)

7、说说你对循环寻址和位反序寻址的理解.(信威dsp软件面试题)8、请写出【-8,7】的二进制补码,和二进制偏置码。用Q15表示出

0.5和-

0.5.(信威dsp软件面试题)

9、DSP的结构(哈佛结构);(未知)

10、嵌入式处理器类型(如ARM),操作系统种类(Vxworks,ucos,winCE,linux

),操作系统

方面偏CS方向了,在CS篇里面讲了;(未知)

11、有一个LDO芯片将用于对手机供电,需要你对他进行评估,你将如何设计你

的测试项目?

12、某程序在一个嵌入式系统(200MCPU,50MSDRAM)中已经最优化了,换到

零一个系统

(300M CPU,50M SDRAM)中是否还需要优化?(Intel)

13、请简要描述HUFFMAN编码的基本原理及其基本的实现方法。(仕兰微面试题

电子信息工程、通信工程、电气类等专业面试将会遇到试题大全

电子信息工程、通信工程、电气类等专业面试将会遇到试题大全 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 基尔霍夫电流定律是一个电荷守恒定律,即在一个电路中流入一个节点的电荷与流出同一个节点 的电荷相等. 基尔霍夫电压定律是一个能量守恒定律,即在一个回路中回路电压之和为零. 2、平板电容公式(C= £ S/4 n kd。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输岀电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给岀一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点 ,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压丫+和丫-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画岀由运放构成加法、减法、微分、积分运算的电路原理图。并画岀一个晶体管级的 运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点 的rise/fall 时间。(Infineon 笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输岀电压分别为C上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波 器。当RC<< period - setup ? hold 16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min 。组合逻辑电路最大延迟为 T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件. (华为)

电子信息工程技术专业的职业技能技术

业务培养目标:本专业培养具备电子技术和信息系统的基础知识,能从事各类电子设备和信息系统的研究、设计、制造、应用和开发的高等工程技术人才。 业务培养要求:本专业是一个电子和信息工程方面的专业。本专业学生主要学习信号的获取与处理、电厂设备信息系统等方面的专业知识,受到电子与信息工程实践的基本训练,具备设计、开发、应用和集成电子设备和信息系统的能力。毕业生应获得以下几个方面的知识和能力: 1.能够较系统地掌握本专业领域宽广的技术基础理论知识,适应电子和信息工程方面广泛的工作范围; 2.掌握电子电路的基本理论和实验技术,具备分析和设计电子设备的基本能力; 3.掌握信息获取、处理的基本理论和应用的一般方法,具有设计、集成、应用及计算机模拟信息系统的基本能力; 4.了解信息产业的基本方针、政策和法规,了解企业管理的基本知识;5.了解电子设备和信息系统的理论前沿,具有研究、开发新系统、新技术的初步能力; 6.掌握文献检索、资料查询的基本方法,具有一定的科学研究和实际工作能力。 主干学科:电子科学与技术、信息与通信工程、计算机科学与技术。 主要课程:电路理论系列课程、计算机技术系列课程、信息理论与编码、信号与系统、数字信号处理、电磁场理论、自动控制原理、感测技术等。 主要实践性教学环节:包括课程实验、计算机上机训练、课程设计、生产实习、毕业设计等。一般要求实践教学环节不少于30周。 修业年限:四年 授予学位:工学学士 1.知识理论系统性较强。学习本课程需要有一定的基础理论、知识作铺垫且又是学习有关后续专业课程的基础。 2.基础理论比较成熟。虽然电子技术发展很快,新的器件、电路日新月异,但其基本理论已经形成了相对稳定的体系。有限的学校教学不可能包罗万象、面面俱到,要把学习重点放在学习、掌握基本概念、基本分析、设计方法上。3.实践应用综合性较强。本课程是一门实践性很强的技术基础课,讨论的许多电子电路都是实用电路,均可做成实际的装置。 专业简介 电子信息工程是一门应用计算机等现代化技术进行电子信息控制和信息处理的学科,主要研究信息的获取与处理,电子设备与信息系统的设计、开发、应用和集成。现在,电子信息工程已经涵盖了社会的诸多方面,像电话交换局里怎么处理各种电话信号,手机是怎样传递我们的声音甚至图像的,我们周围的网络怎样传递数据,甚至信息化时代军队的信息传递中如何保密等都要涉及电子信息工程的应用技术。我们可以通过一些基础知识的学习认识这些东西,并能够应用更先进的技术进行新产品的研究和电子信息工程专业是集现代电子技术、信息技术、通信技术于一体的专业。 本专业培养掌握现代电子技术理论、通晓电子系统设计原理与设计方法,具有较强的计算机、外语和相应工程技术应用能力,面向电子技术、自动控制和智能

电子信息工程专业学生职业生涯规划

电子信息工程专业学生职业生涯规划 ——WORD文档,下载后可编辑修改—— 业务培养目标: 业务培养目标:本专业培养具备电子技术和信息系统的基础知识,能从事各类电子设备和信息系统的研究、设计、制造、应用和开发的高等工程技术人才。 业务培养要求:本专业是一个电子和信息工程方面的较宽口径专业。本专业学生主要学习信号的获取与处理、电厂设备信息系统等方面的专业知识,受到电子与信息工程实践的基本训练,具备设计、开发、应用和集成电子设备和信息系统的基本能力。 毕业生应获得以下几个方面的知识和能力: 1.较系统地掌握本专业领域宽广的技术基础理论知识,适应电子和信息工程方面广泛的工作范围; 2.掌握电子电路的基本理论和实验技术,具备分析和设计电子设备的基本能力; 3.掌握信息获取、处理的基本理论和应用的一般方法,具有设计、集成、应用及计算机模拟信息系统的基本能力; 4.了解信息产业的基本方针、政策和法规,了解企业管理的基本知识; 5.了解电子设备和信息系统的理论前沿,具有研究、开发新系统、新技术的初步能力; 6.掌握文献检索、资料查询的基本方法,具有一定的科学研究

和实际工作能力。 主干课程: 主干学科:电子科学与技术、信息与通信工程、计算机科学与技术。 主要课程:电路理论系列课程、计算机技术系列课程、信息理论与编码、信号与系统、数字信号处理、电磁场理论、自动控制原理、感测技术等。 主要实践性教学环节:包括课程实验、计算机上机训练、课程设计、生产实习、毕业设计等。一般要求实践教学环节不少于30周。 修业年限:四年 授予学位:工学学士 就业去向 该专业毕业生具有宽领域工程技术适应性,就业面很广,就业率高,毕业生实践能力强,工作上手快,可以在电子信息类的相关企业中,从事电子产品的生产、经营与技术管理和开发工作。主要面向电子产品与设备的生产企业和经营单位,从事各种电子产品与设备的装配、调试、检测、应用及维修技术工作,还可以到一些企事业单位一些机电设备、通信设备及计算机控制等设备的安全运行及维护管理工作。 企业需求 由于信息时代的到来,据推测,在相当长的一段时间内,此类人才仍将供不应求。

电子信息工程专业介绍信

电子信息工程专业介绍信 电子信息工程专业介绍信 在平凡的学习、工作、生活中,大家都跟书信打过交道吧,书信一般包括称呼、问候语、正文、祝语、署名、日期六个部分。书信要怎么写才能发它的作用呢?以下是收集整理的电子信息工程专业介绍信,希望对大家有所帮助。 尊敬的领导: 您好! 我是xx大学信息学院电子工程系xx届的一名学生,即将面临毕业。 四年来,在师友的严格教育及个人的努力下,我具备了扎实的专业基础知识,系统地掌握了电路、电子技术、信号与系统、数字信号处理、通信原理、无线电通信以及电子测量等有关理论;同时也拥有一定的分析和设计能力;熟悉涉外工作常用礼仪;具备较好的英语听、说、读、写、译等能力;能够进行软件系统的分析和设计,能熟练地用c、c++、vb和vc++进行一些软件的开发。同时,我利用课余时间广泛地涉猎了大量书籍,不但充实了自己,也培养了自己多方面的技能。更重要的’是,严谨的学风和端正的学习态度塑造了我朴实、稳重、创新的性格特点。 在大学的四年里、我除了在学好本专业的知识外,我对计算机知

识进行了比较系统地学习和熟练地应用,取得了99年全国fortran 二级、99年4月份全国计算机水平考试三级证书和99年9月份全国计算机四级证书。 此外,我还积极参加校内的各种活动以及校外的各种社会活动,抓住每一个机会,使我在竞争中获益;向实际困难挑战,让我在挫折中成长,借以去磨练自己,培养自己战胜各种困难的信心。我热爱贵单位所从事的事业,殷切地期望能够在您的领导下,为贵公司添砖加瓦;同时也在您的领导下发挥出我的实力与才能,在实践中不断学习、进步,在能力和素质方面进一步完善自我,为贵公司做出更大的贡献。 历史不曾为谁停留,而历史又记录了千千万万个走过者的故事,我想一切向往美好、积极进取的追求者终将被历史所肯定。纵观当今,社会充满了竞争,无论您是否选择我,我都祝愿贵公司的事业蒸蒸日上!

电子信息专业自我介绍

电子自我介绍 各位考官,大家好 今天我很高兴能在这里参加面试,有机会向各位考官请教和学习;我感到十分的荣幸。 我叫袁翠平,今年20岁,是湖南现代物流学院的电子信息专业的一名学生,虽然这个专业比较难学,除了要掌握相关的理论知识,还需要较强的学习和动手实践能力,但是我凭着一步一个脚印的不懈努力,每年都获得了学院文化奖学金。 我性格温和,谦虚,勤奋好学,能吃苦耐劳,有较强的责任心和和社会适应能力,能熟练的运用prptel画图及各种办公软件,有丰富的internet经验,接受过全方位的大学基础教育、受到过良好的的专业培训和能力培养。在电子这个领域有扎实的理论基础和研究分析能力。我选择这个职位除了专业对口外,我也很喜欢他,相信他能让我充分的展示自己和实现人生的价值。 作为一个新人,没有相对的工作经验,但我愿意接受各式各样的挑战,并从中学习新事物及快速成长起来,请相信给给我一个机会,我会还你们一个惊喜。 不论求职还是生活,自我介绍必不可少。尤其是求职面试,自我介绍成为了第一道关,也成为了求职者最难回答的一个问题,应该怎么说才能够全面,哪些是必须说的,哪些是可以忽略的…… 而一则精彩的自我介绍能加深别人对自己的印象,如何做精彩的自我介绍?下面本站整理了一则微电子专业自我介绍范文 我叫***是一名应届毕业生,就读**职业技术学院,电子信息工程系,微电子专业。大学的三年里,老师的教诲,同学的友爱以及各方面的熏陶,使我获得了许多知识,懂得了许多道理,作为初学者,我具备出色的学习能力并且乐于学习,敢于创新,不断追求卓越;作为参与者,我具备诚实可信的品格,富有团队合作精神;作为领导者,我具备做事干练、果断的风格,良好的沟通和人际协调能力。有很强的忍耐力,意志力和吃苦耐劳的品质,对工作认真负责,积极进取,个性乐观执着,敢于面对困难与挑战。 为了更好地适应社会地需要,我在掌握好学校课程地前提下,充分利用课余时间,阅读了大量地课外读物,拓宽了自己地知识面。 通过专业课程的学习,我现已具有较强的计算机操作能力和专业软件的使用。精通office、wps软件,熟练使用QuartusII、MAX+plusII、AltiumDesigner6、AltiumDXP2004、Protel99SE软件,精通veriloghdl、vhdl、c语言。计算机硬件方面,我熟悉其组成原理,能够熟练地进行计算机地组装,独立排除计算机地各种故障。 作为一名即将毕业的大学生,我深知两年多的大学生活所奠定的只是走向社会地基础,在未来我将面对着及大的挑战。但我会以实力和热诚地心面对这些挑战,从中吸取经验,丰富自我,从而更好地实现人生价值。

电子信息工程技术专业大学生职业生涯规划书

大学生职业生涯规划书

系别:专业:电子信息工程技术 姓名:学号: 目录 第一章、认识自我 (3) 第二章、职业分析 (6) 第三章、目标定位 (8) 第四章、实施计划 (12) 第五章、反馈 (16) 第六章、结束语 (17)

第一章、认识自我 一、个人基本情况: 1、自身现状:我是一个认真而谨,勤奋而负有责任感的人。性格比较老实。不太喜欢与人为友。作出决定前有点有时候有点犹豫不决,但一般决定后就不会轻易改变。对待朋友诚挚,永远坚信“人无忠信,不可立于世。”的价值观。对事业的规划面也比较明确。 2、角色建议: 父亲:“要不断学习,能力要强”;“工作要努力,有发展,最起码能在城市立足,不希望能赚很多。”母亲:工作要上进,婚姻不要误。老师:“聪明、有上进心、单纯、乖巧”,缺乏社会经验”同学:“有较强的工作能力”。 二、性格分析: 我是一个认真而谨,勤奋而负有责任感的人。性格比较老实。不太喜欢与人为友。作出决定前有点有时候有点犹豫不决,但一般决定后就不会轻易改变。对待朋友诚挚,永远坚信“人无忠信,不可立于世。”的价值观。对事业的规划面也比较明确。 1、自我兴趣爱好:阅读科技新闻和玩手机的技术帖子以及文艺书籍、看电 影、听摇滚/重金属以及耐听的流行乐、弹吉他、唱歌、不喜欢运动。 2、劣势分析:做事情的固执性比较强,喜欢一意孤行。对比在以后人生中要尽量从另一个面感观事情的发展动向,多听取别人的意见。 3、优点分析:做事仔细认真、踏实,友善待人,做事锲而不舍,勤于思考,考虑问题较为全面。有比较强的自信心和冒险精神。 4、自我缺点分析:自己的专业知识,学习不够精深扎实,有时不太有耐心 5、个人特质:不太懂得如果与别人沟通,有时候不清楚表达自己的意思,让别人愿意接受自己,人际关系不太好,有困难时别人愿意帮助自己读书期间,只参加自己喜欢的活动。 6、我的职业价值观:从事有创意,不能容忍每天朝九晚五、要加夜班的机械化的工作模式;规划做电子高级设计师,电子技术应用技术人员,电路分析

浅谈对电子信息工程专业的认识

浅谈对电子信息工程专业的认识 在大一一学期中,我们电子信息工程专业又分为三个方向:通信工程,电子信息工程,声像与灯光工程。我对电子信息工程这一专业有以下一些认识。本专业是一个电子和信息工程方面的较宽口径专业。本专业学生主要学习信号的获取与处理、电子设备与信息系统等方面的专业知识,受到电子与信息工程实践的基本训练,具备设计、开发、应用和集成电子设备和信息系统的基本能力。 毕业生应获得的知识与能力: 1.较系统地掌握本专业领域的基础理论知识,适应电子和信息工程方面广泛的工作范围: 2.掌握电子电路的基本理论和实验技术,具备分析和设计电子设备的基本能力; 3.掌握信息获取、处理的基本理论和应用的一般方法,具有设计、集成、应用及计算机模拟信息系统的基本能力: 4.了解信息产业的基本方针、政策和法规,了解企业管理的基本知识: 5.了解电子设备和信息系统的理论前沿,具有研究、开发新系统、新技术的初步能力。6.掌握文献检索、资料查询的基本方法,具有一定的科学研究和实际工作能力。主要课程:电路理论系列课程、计算机技术系列课程、信息理论与编码、信号与系统、数字信号处理、电磁场理论、自动控制原理、感测技术等。学业年限:四年授予学位:工学学士 职业方向:从事计算机硬件或IT产品的研发,计算机管理及运用的部门从事计算机软件开发,以计算机作为主要工具从事艺术设计或工具研究等。通信工程专业主要为研究信号的产生、信息的传输、交换和处理,以及在计算机通信、数字通信、卫星通信、光纤通信、蜂窝通信、个人通信、平流层通信、多媒体技术、信息高速公路、数字程控交换等方面的理论和工程应用问题。随着19世纪美国人发明电报之日起,现代通信技术就已经产生。为了适应日益发展的技术需要,通信工程专业成为了美国大学教育中的一门学科,并随着现代技术水平的不断提高而得到迅速发展。 声像与灯光专业主攻方向包括:电声技术、影视技术、建筑声学技术、舞台灯光与艺术照明技术、数字音频信号处理、DSP技术、无线通讯、发射、计算机测量、计算机音乐、录音技术等。 电子信息工程又分为主干学科:电子科学与技术、信息与通信工程、计算机科学与技术。主要课程:电路理论系列课程、计算机技术系列课程、信息理论与编码、信号与系统、数字信号处理、电磁场理论、自动控制原理、感测技术等。主要实践性教学环节:包括课程实验、计算机上机训练、课程设计、生产实习、毕业设计等

电子信息工程简介.doc

电子信息工程是一门应用计算机等现代化技术进行电子信息控制和信息处理的学科,主要研究信息的获取与处理,电子设备与信息系统的设计、开发、应用和集成。现在,电子信息工程已经涵盖了社会的诸多方面,像电话交换局里怎么处理各种电话信号,手机是怎样传递我们的声音甚至图像的,我们周围的网络怎样传递数据,甚至信息化时代军队的信息传递中如何保密等都要涉及电子信息工程的应用技术。我们可以通过一些基础知识的学习认识这些东西,并能够应用更先进的技术进行新产品的研究和电子信息工程专业是集现代电子技术、信息技术、通信技术于一体的专业。 本专业培养掌握现代电子技术理论、通晓电子系统设计原理与设计方法,具有较强的计算机、外语和相应工程技术应用能力,面向电子技术、自动控制和智能控制、计算机与网络技术等电子、信息、通信领域的宽口径、高素质、德智体全面发展的具有创新能力的高级工程技术人才开发。 电子信息工程专业主要是学习基本电路知识,并掌握用计算机等处理信息的方法。首先要有扎实的数学知识,对物理学的要求也很高,并且主要是电学方面;要学习许多电路知识、电子技术、信号与系统、计算机控制原理、通信原理等基本课程。学习电子信息工程自己还要动手设计、连接一些电路并结合计算机进行实验,对动手操作和使用工具的要求也是比较高的。譬如自己连接传感器的电路,用计算机设置小的通信系统,还会参观一些大公司的电子和信息处理设备,理解手机信号、有线电视是如何传输的等,并能有机会在老师指导下参与大的工程设计。学习电子信息工程,要喜欢钻研思考,善于开动脑筋发现问题。 随着社会信息化的深入,各行业大都需要电子信息工程专业人才,而且薪金很高。学生毕业后可以从事电子设备和信息系统的设计、应用开发以及技术管理等。比如,做电子工程师,设计开发一些电子、通信器件;做软件工程师,设计开发与硬件相关的各种软件;做项目主管,策划一些大的系统,这对经验、知识要求很高;还可以继续进修成为教师,从事科研工作等。注:不同院校的课程设置可能不同。 [编辑本段] 基本介绍 学科:工学 门类:电气信息类 专业名称:电子信息工程 相近专业:通信工程 [编辑本段] 业务培养要求 本专业是一个电子和信息工程方面的专业。本专业学生主要学习信号的获取与处理、电厂设备信息系统等方面的专业知识,受到电子与信息工程实践的基本训练,具备设计、开发、应用和集成电子设备和信息系统的能力。

电子信息工程专业实习调查报告

一.前言 本次专业调查实习是继专业认识实习后的实践环节,通过专业调查实习,了解电子信息行业目前发展状况和发展趋势,电子信息技术应用领域、企业对电子信息行业的人才要求,从而比较完整的认识电子信息专业,热爱电子信息专业,加强学习的自主性和创造性,为专业学习打下良好的基矗在明确调查目的和意义后,我们利用网络、书籍、报刊等多种手段对电子信息工程应用型专业特点、电子信息行业发展状况和趋势、行业对人才的需求等进行资料查询、收集、整理与分析。根据已掌握的材料和现有的条件,我们选择许昌一些与电子信息工程相关的企业进行调查,并对企业的技术人员进行专访,深入和准确了解企业的发展及对人才的需求状况。 1调查问题 1、电子信息行业整体的发展状况和发展趋势如何? 2、电子信息技术在社会生活中有哪些应用?都产生了怎样的深刻影响? 3、企业利用技术方面的情况如何? 4、从电子信息企业的角度看,都需要哪些人才?看重人才的哪些综合能力? 2调查目的和意义 专业调查的目的是了解电子信息行业目前发展状况和发展趋势,电子信息技术应用领域、企业对电子信息行业的人才要求,从而比较完整的认识电子信息专业,热爱电子信息专业,加强学习的自主性和创造性,为专业学习打下良好的基矗

3调查的时间、地点、对象及方式 调查时间:2017-7-25 14:30-17:00 调查地点:许昌许继公司 调查对象: 许昌市许继公司高级技工陈技术员 调查方式: 人物专访 4调查前的准备和分析 考虑到采访的性质和采访对象的情况,我们主要做了硬件和软件两方面的准备。硬件方面:带齐采访所需的证件(学生证)、问题的稿件、记事本、相机等;软件方面:在采访前学习了解采访工作如何进行的、采访人员该具有怎样的礼仪和言行、针对采访对象所做的知识储备、模拟采访情景等。我们身为大学生、郑州航空工业管理的一分子,要求我们必须时时以一个文明人的身份示于社会。通过采访前的周密、得当的准备,掌握了采访必要的技巧和有关的知识,采访一定会取得圆满成功。 二.正文 在小组成员的努力和采访对象陈技术员的配合下,采访得以顺利的进行,并圆满的完成。经过调查、采访,依据对相关人士的采访内容,并结合对调查问题所收集的资料整理分析提出我们的看法和见解,以下逐项简述之。 1电子信息工程专业的认识

浙江大学电子信息工程专业介绍

电气工程学院电子信息工程专业 第一部分专业历史沿革 浙江大学电子信息工程专业隶属于浙江大学电气工程学院的应用电子学系,是应用电子学系唯一的本科专业。电子信息工程由应用电子技术专业发展来,它是全国最早的电力电子技术学科专业,在国内享有盛誉,在国外也极具影响力。专业师资力量雄厚,既有资深博学的知名教授,如首批中国工程院院士汪槱生教授,也有朝气蓬勃的中青年学术骨干。在科学技术飞速发展的今天,电子信息工程专业始终与时俱进,不断创造新的辉煌。 1.1 专业的发展历史 浙江大学电子信息工程其前身是应用电子技术专业,它是全国最早的属电力电子技术学科专业。 在1953年,浙江大学电机系创办了“电机与电器”专业,共分为电机制造和电器制造两个学科,本专业前身的专业名称为“电机与电器专业电器专门化”。 在1970年,在世界电力电子期间快速发展的前提下,“电机与电器专业电器专门化”专业联合电机系其他教研室进行了可控硅元件制造和可控硅中频电源研制,生产的100A/800V可控硅在当时国内有一定声誉,研制的100kW/1kHz并联逆变中频电源为国内首创,专业名称更改为“工业电子装置”专业。进行可控硅新技术应用,在1973年春开办了可控硅中频电源训练班,为工厂培养了一批(约40人)中频电源制造骨干。从1973年秋开始又以“工业电子技术”专业为名连续四年招收了四届工农兵学员,专业方向扩展为可控硅应用技术和数字控制技术。 在1977年时,专业由“工业电子装置”专业改名为“工业电子技术”专业。1977年起开始招收本科生,1978年起招收硕士研究生,1981年被国家批准为我国第一个电力电子技术硕士和博士授权点。 1985年根据原教育部颁发的专业目录要求,改名为“应用电子技术”专业。专业所对应的二级学科为电力电子技术学科,在1988年被列为首批国家重点学科。1989年至今先后建立了国内唯一的国家电力电子技术专业实验室和国内高校唯一的国家电力电子应用技术工程研究中心(1996年),被列为国家“211”工程浙江大学重点建设学科群。 在1999年时,根据教育部颁布的新的专业目录,由原来的“应用电子技术”改名为“电子信息工程”专业,属于浙江大学电气工程学院应用电子学系。同年,浙江大学信息与电子工程学系与杭州大学电子工程系合并组建新的浙江大学信息与电子工程学系,设立了电子信息工程(信电)专业。此后,浙江大学同时存在2个电子信息工程和专业,分属于信息与电子工程学院的信息与电子工程学系以及电气工程学院的应用电子学系。 2000年成立浙江大学超大规模集成电路研究所,国内知名集成电路专家严晓浪教授担任所长。 2002年,根据集成电路人才培养的需要,新成立“电子信息科学与技术”专业,招收本科生。 2003年,电子信息科学与技术并入电子信息工程专业统一招收本科生。

电子信息工程专业描述

电子信息工程专业描述 (一): 电子信息工程专业介绍 本专业是一个电子和信息工程方面的专业。本专业学生主要学习信号的获取与处理、电厂设备信息系统等方面的专业知识,受到电子与信息工程实践的基本训练,具备设计、开发、应用和集成电子设备和信息系统的潜力。 1.能够较系统地掌握本专业领域宽广的技术基础理论知识,适应电子和信息工程方面广泛的工作范围; 2.掌握电子电路的基本理论和实验技术,具备分析和设计电子设备的基本潜力; 3.掌握信息获取、处理的基本理论和应用的一般方法,具有设计、集成、应用及计算机模拟信息系统的基本潜力; 4.了解信息产业的基本方针、政策和法规,了解企业管理的基本知识; 5.了解电子设备和信息系统的理论前沿,具有研究、开发新系统、新技术的初步潜力; 6.掌握文献检索、资料查询的基本方法,具有必须的科学研究和实际工作潜力。 主要课程:电路理论系列课程、计算机技术系列课程、信息理论与编码、信号与系统、数字信号处理、电磁场理论、自动控制原理、感测技术等。

主要实践性教学环节:包括课程实验、计算机上机训练、课程设计、生产实习、毕业设计等。一般要求实践教学环节不少于30周。 1.知识理论系统性较强。学习本课程需要有必须的基础理论、知识作铺垫且又是学习有关后续专业课程的基础。 2.基础理论比较成熟。虽然电子技术发展很快,新的器件、电路日新月异,但其基本理论已经构成了相对稳定的体系。有限的学校教学不可能包罗万象、面面俱到,要把学习重点放在学习、掌握基本概念、基本分析、设计方法上。 3.实践应用综合性较强。本课程是一门实践性很强的技术基础课,讨论的许多电子电路都是实用电路,均可做成实际的装置。 该专业是前沿学科,现代社会的各个领域及人们日常生活等都与电子信息技术有着紧密的联系。全国各地从事电子技术产品的生产、开发、销售和应用的企事业单位很多.,随着改革步伐的加快,这样的企事业单位会越来越多。为促进市场经济的发展,培养一大批具有大专层次学历,能综合运用所学知识和技能,适应现代电子技术发展的要求,从事企事业单位与本专业相关的产品及设备的生产、安装调试、运行维护、销售及售后服务、新产品技术开发等应用型技术人才和管理人才是社会发展和经济建设的客观需要,市场对ahref=‘oh100.//mei/’target=‘_blank’》美嗳瞬诺男枨笤嚼丛酱蟆N说缱有畔⒐こ套ㄒ档娜瞬庞凶殴惴旱木鸵登熬啊/p》 注重培养电子信息技术基础知识与潜力;具有电子产品的装配、调试及设计的基本潜力,具有一般电子设备的安装、调试、维护与应用潜力;具有对办公自动化设备的安装、调试、维修和维护管理潜力;具有对通信设备、家用电子产品电路图的阅读分析及安装、调试、维护潜力;具有对机电设备进行智能控制的设计和组织潜力;具有阅读英语资料和计算机应用潜力。 本专业学生主要学习信号的获取与处理、电子设备与信息系统等方面的基本理论和基本知识。受到电子与信息工程实践(包括生产实习和室内实验)的基本训练,具备良好的科学素质,具备设计、开发、应用和集成电子设备和信息系统的基本潜力,并具有较强的知识更新潜力和广泛的科学适应潜力。 高等数学、英语、电路分析、电子技术基础、c语言、java基础设计、

电子信息工程专业毕业生实习报告范文

电子信息工程专业毕业生实习报告 一、实习目的 实习是每一个大学毕业生必须拥有的一段经历,它使我们在实践中了解社会、在实践中巩固知识;实习又是对每一位大学毕业生专业知识的一种检验,它让我们学到了很多在课堂上根本就不到的知识,既开阔了视野,又增长了见识,也是我们走向工作岗位的第一步。通过实习,我们可以对本专业相关企业的形式、规模、地理分布、工资待遇和发展前景等有初步的了解,有助于我们做好自己的职业规划,确定就业方向。对于我们电子信息专业的学生,毕业实习是非常有必要的。通过实习,我们可以了解电子相关产品的生产技术、生产工艺、生产流程和市场需求等,了解电子信息的前沿技术。通过实习,我们可以接触与电子信息相关的实际工作,增强对工作的感性认识,学会将所学的专业理论知识应用于实际,培养我们的观察力、判断力、创新能力、独立思考和解决问题的能力,为毕业后找到合适的工作岗位打下基础。 二、公司简介 广东省东莞市盈佳利电子有限公司成立于年6月,位于广东省东莞横沥镇村头工业区,前身是康捷电子厂和盈佳利电子厂,成立于年6月。公司车间是标准工业厂房,厂区面积平方米,宿舍区500平方米。公司现有员工150多人,配备多种专业技术人才。设有生产部、开发部、质检部、市场部和财务部。专注于电子产品的研发制造,先进的自动化生产设备、多年的产品开发和生产管理经验,能够满足客户的成本与品质的需求。公司现有12条生产线,其中6条生产线,3条插件流水线,3条焊接装配线,设有测试间和仓库。公司主营汽车音响,便携式扫描仪、络硬盘录像机、监控器、络音视频播放器、高清络家庭影院、开关电源,工控及智能产品,a / / / cba代工代料, d / 开发生产,为终端消费者提供优质产品和服务。实习期间,公司主要生产成品有防雷排插、电脑散热风扇、消防报警器、电视机顶盒、汽车音响和便携式扫描仪。其中防雷排插、电脑散热风扇、消防报警器为代工产品,电视机顶盒为合资研发产品,汽车音响为自主研发产品。公司以来料加工起家,经过将近十年的发展,已经由原来单一的加工发展为a / / / cba代工代料,由原来的纯加工发展成为拥有自主开发产品,生产线也由原来的2条生产线发展为包括、插件和焊接在内的12条生产线。多年的代工生产经验和优质的服务帮助公司顺利度过了金融危机。金融危机过后,公司总结多年来代工生产,意识到代工生产这种经营模式获利艰难,而有自主产品的企业虽然风险比较高,但利润大,因此公司决定开发自主产品。自年以来,公司设立开发部,不断引进高素质人才,以合作研发和自主研发的方式先后开发生产 3、 4、汽车音响、便携式扫描仪、络硬盘录像机、监控器、络音视频播放器、高清络家庭影院、开关电源等产品。公司以先进的技术,优良的品质,周全的服务打造企业形象,得到了广大客户的认可。 三、实习内容 本次实习历时将近两个月,实际在岗时间34天。本次实习得到了盈佳利公司的大力支持。由于本次实习是我们第一次实际参与产品的生产,缺乏实践经验,所以公司将实习分为三个阶段进行,分别为认知阶段、技能训练阶段和入岗实习阶段。具体实习内容如下:

电子信息工程专业主要课程简介

电子信息工程专业主要课程简介 1G10125 电路分析学分:4.0 Circuit Analysis 预修课程:高等数学,大学物理 内容简介:本课程的任务主要是讨论线性、集中参数、非时变电路的基本理论与一般分析方法,使学生掌握电路分析的基本概念、基本原理和基本方法,提高分析电路的思维能力与计算能力,以便为学习后续课程奠定必要的基础。 推荐教材:《电路分析》,胡翔骏、黄金玉,高等教育出版社,2001年 主要参考书:《电路》(第四版),邱关源,高等教育出版社,1999年,“九五”重点教材 1G10447 信号与系统学分:4.0 Signal & System 预修课程:电路分析、工程数学 内容简介:信号与系统是通信和电子信息类专业的核心基础课,其中的概念和分析方法广泛应用于通信、自动控制、信号与信息处理、电路与系统等领域。本课程中通过信号分解、连续系统时域分析、频域分析、复频域分析和离散系统时域分析、变换域分析方法的学习,培养思维能力,为后续课程打下必要的理论基础。推荐教材:《信号与系统教程》,燕庆明,高等教育出版社,2004年 主要参考书:《信号与系统》,郑君里,高等教育出版社,2000年 1G10295模拟电子技术学分:4.0 Analog Electronic Technology

预修课程:高等数学、电路分析 内容简介:模拟电子技术是电子信息工程专业最主要的专业基础课之一,主要讲授晶体二极管、晶体三极管和场效应管的基本原理和工作特性,重点分析放大器的工作原理,使学生能充分理解基本放大器、多级放大器、负反馈放大器和低频功率放大器的交流和直流特性及其简单应用,并在其基础上了解集成运算放大器的结构,着重掌握集成运算放大器的各种应用。对于直流稳压电源主要了解其组成和各部分功能及典型电路。模拟集成电路应用主要讲解常用模拟集成电路,如NE555的各种应用。 推荐教材:《模拟电子技术》,邬国扬等编,西安电子科技大学出版社,2002年主要参考书:《电子技术基础模拟部分》(第四版),康华光等编,高等教育出版社,1999年 1G10335数字电子技术学分:3.0 Digital Electronic Technology 预修课程:高等数学、电路分析、模拟电子技术 内容简介:数字电子技术是电子信息工程专业最主要的专业基础课之一,首先讲授逻辑代数和门电路,使学生掌握基本逻辑代数的运算和基本门电路组成结构。在此基础上讲解组合电路和时序电路,使学生掌握基本组合电路和时序电路的特点和工作原理,并结合逻辑代数、状态表和状态图设计简单组合电路和时序电路。了解脉冲信号的产生以及对脉冲信号的整形、半导体存储器RAM和ROM的结构以及数/模转换器(DAC)和模/数转换器(ADC)的工作原理。 推荐教材:《电子技术基础数字部分》(第四版),康华光等编,高等教育出版社,1999年

电子信息通信专业面试题.

电子信息通信专业面试题.txt26选择自信,就是选择豁达坦然,就是选择在名利面前岿然不动,就是选择在势力面前昂首挺胸,撑开自信的帆破流向前,展示搏击的风采。模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 基尔霍夫电流定律是一个电荷守恒定律, 即在一个电路中流入一个节点的电荷与流出同一个节点的电荷相等. 基尔霍夫电压定律是一个能量守恒定律, 即在一个回路中回路电压之和为零. 2、平板电容公式(C=εS/4πkd 。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-, 求共模分量和差模分量。(未知)

11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题 15、电阻R 和电容C 串联,输入电压为R 和C 之间的电压,输出电压分别为 C 上电压和R 上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<< period - setup ? hold 16、时钟周期为T, 触发器D1的建立时间最大为T1max ,最小为T1min 。组合逻辑电路最大延迟为T2max, 最小为T2min 。问,触发器D2的建立时间T3和保持时间应满足什么条件. (华为) 17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock的delay, 写出决定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题) 18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题) 19、一个四级的Mux, 其中第二级信号为关键信号如何改善timing 。(威盛VIA2003.11.06 上海笔试试题) 20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入, 使得输出依赖于关键路径。(未知)

电子信息工程专业职业生涯规划书

目录 前言 (2) 一、自我评估 (2) 二、环境评估 (2) 三、专业评估 (3) 四、职业目标 (3) 五、实施步骤 (4) 六、评估反馈 (5) 七、结束语 (5)

我的职业生涯规划书 前言 驻足观望,中国IT行业飞速发展,人才竞争日益激烈,形形色色人物竞赴出场。作为一名电子信息工程专业的当代大学生,我不由得考虑起自己的未来。在机遇与挑战粉墨登场的未来社会里,我究竟该扮演如何一个角色呢?水无点滴量的积累,难成大江河。人无点滴量的积累,难成大气候。没有兢兢业业的辛苦付出,哪里来甘甜欢畅的成功的喜悦?没有勤勤恳恳的刻苦钻研,哪里来震撼人心的累累硕果?只有付出,才能有收获。未来,掌握在自己手中。一本书中这样写到:一个不能靠自己的能力改变命运的人,是不幸的,也是可怜的,因为这些人没有把命运掌握在自己的手中,反而成为命运的奴隶。而人的一生中究竟有多少个春秋,有多少事是值得回忆和纪念的。生命就像一张白纸,等待着我们去描绘,去谱写。而如今,身为大学生的我们,在一天天消磨时光的日子里,不如抓紧时间多学一些知识来充实自己。人的大学时光一生中也许就一次,不把握好,将来自己一定回追悔莫及。于是,再经过一番深思熟虑之后,我决定把自己的未来设计一下。我始终相信:事在人为,只要有恒心,能吃苦,掌握方法,就Nothing is impossible!我不甘做个平庸的人,我一定要超越,我相信,我能行! 一、自我评估 现在已经进入了大三,看到前辈们坎坷的求职经历,我知道未来并不是想象中那么美好,我需要对自身有一次认真地分析。以便于更好地进行自己的职业生涯规划。 1.性格:活泼开朗,乐观向上,有激情,有活力。 2.爱好:唱歌,读书,运动。 3.优点:有激情,有冲劲;做事认真、负责;冷静;有创新精神。 4.缺点:有时候会沉默。 二、环境评估 中国政治稳定,经济持续发展。在全球经济一体化环境中的重要角色。经济发展有强劲的势头,加入WTO后,会有大批的外国企业进入中国市场,中国的企业也将走出国门。目前社会对于通信类人才需要还是比较大的,特别是我国的通信技术还不是很先进的情况下,具有一定能力的高水平毕业生一定会得到企业的青睐。所以说在校加强通信方面的专业知识学习,会在就业时有一定的专业优势。 二十一世纪进入信息时代,席卷全球的信息科技给人类的生产和生活方式带来了深刻的变革,信息产业已成为推动国家经济发展的主导产业之一,人们对电子产品的要求高,首先是产品的质量好,服务到位。所以在电器销售行业,取得消费者的信任是至关重要的,当今没有多少企业是在真正的做“服务”,从营销观念的角度出发,连市场营销的观念没有达到,当今电器产品营销服务人才的机会很多。(电子产品检测与营销)当今的消费者有关电子的消费知识在增长,挑选的余地也很大,仅仅能说会道、热情周到的服务已远远不能如早期一样获得消费者的信任。应该如何进行市场的开拓,培养重视的客户?所以,将专业知识与营销技巧结合,成为我的选择。

电子信息工程专业描述

电子信息工程专业描述 业务培养目标:本专业培养具备电子技术和信息系统的基础知识,能从事各类电子设备和信息系统的研究、设计、制造、应用和开发的高等工程技术人才。 业务培养要求:本专业是一个电子和信息工程方面的较宽口径专业。本专业学生主要学习信号的获取与处理、电子设备与信息系统等方面的专业知识,受到电子与信息工程实践的基本训练, 具备设计、开发、应用和集成电子设备和信息系统的基本能力。 毕业生应获得以下几方面的知识和能力: 1.较系统地掌握本专业领域宽广的技术基础理论知识,适应电子和信息工程方面广泛的工作范围; 2.掌握电子电路的基本理论和实验技术,具备分析和设计电子设备的基本能力; 3.掌握信息获取、处理的基本理论和应用的一般方法,具有设计、集成、应用及计算机模拟信息系统的基本能力; 4.了解信息产业的基本方针、政策和法规,了解企业管理的基本知识; 5.了解电子设备和信息系统的理论前沿,具有研究、开发新系统、新技术的初步能力。 6.掌握文献检索、资料查询的基本方法,具有一定的科学研究和实际工作能力。 主干学科:电子科学与技术、信息与通信工程、计算机科学与技术 主要课程:电路理论系列课程、计算机技术系列课程、信息理论与编码、信号与系统、数字信号处理、电磁场理论、自动控制原理、感测技术等 主要实践性教学环节:包括课程实验、计算机上机训练、课程设计、生产实习、毕业设计等,一般要求实践教学环节不少于30周。 主要专业实验:至少完成本专业某一方向的一组专业实验 修业年限:四年 授予学位:工学学士 相近专业:通信工程 前景广阔的电子信息工程(这个专业上海的需求很大哦.很多企业都需要这方面的人才.) 电子信息工程是一门应用计算机等现代化技术进行电子信息控制和信息处理的学科,主要研究信息的获取与处理,电子设备与信息系统的设计、开发、应用和集成。现在,电子信息工

电子信息工程技术专业人才培养方案

电子信息工程技术专业人才培养方案 一、人才培养目标 本专业以电子电路分析、维护、设计能力为目标,培养掌握电子专业基础知识和基本技能,掌握电路设计和自控设计、EDA工具,熟悉电路、计算机、信号处理、通信等相关系统知识,能够满足电子电路设计及相关行业工作需求,从事电路电子系统的研究、开发和应用,具有一定创新能力、能适应现代化建设的高素质技能型专门人才。 二、人才培养规格 1、就业岗位(群) (1)电子设备及系统的安装员、调试员、操作员、维护员、管理及设计员; (2)电子设备、电子系统故障分析能力及故障处理员; (3)电路分析、器件性能分析和版图设计员; (4)企事业单位的机电设备、通信设备及计算机控制等设备的安全运行及维护管理员。 2、考证要求 (1)全国ITAT技能大赛证书; (2)山东省高职高专英语应用能力考试证书; (3)普通话水平测试二级乙等以上等级证书。 3、知识、能力及素质结构分析分解(表1、2、3) 三、标准学制、计划总学时与毕业学分要求 电子信息专业标准学制3年。计划总学时为1680学时,毕业最低学分为146学分。

四、课程的学时、学分及学期安排表(表4) 五、各类课程学时学分统计表(表5) 六、毕业要求 学生完成教学计划规定的各门课程,修满教学计划规定的学分,取得相应的职业资格证书,经德智体审查合格者,即准予毕业,并颁发国家承认的高等教育专科学历毕业证书。

序号知识结构知识要求相应课程或教学环节备注 1 公共基础知识 (1)思想品德修养与政治 理论方面的基础知识; (2)大学英语、普通话知 识。 思想政治理论课(思想 品德修养与法律基础、毛 泽东思想和中国特色社 会主义理论体系概论、形 势与政策等),公共基础 课(大学英语、普通话)。 2 专业基础知识 (1)模拟、数字电路基本 知识; (2)电子设备和电子系统 方面的基本知识; (3)微电子及半导体器件 基本理论知识。 专业基础课(计算机应 用基础、C语言程序设计、 高等数学、电路分析、微 机组装与维护、电子技 术、实用电工技术、电子 信息专业英语等)。 3 专业实践知识 (1)计算机基本原理及软 硬件应用知识; (2)电子电路设计及自控 设计基本知识; (3)EDA技术的基本知识 与应用。 专业核心课(Visual Basic 程序设计、单片机 技术、传感器与检测技 术、基于MULTISIM的电 子系统设计.仿真与综合 应用、Protel及应用、可 编程序控制器、电气控制 技术等)。 4 专业拓展知识 (1)大型PLC设计知识。 专业方向课(A:计算 机控制技术、大型PLC 等)。专业选修 课(2)嵌入式系统的设计知 识。 专业方向课(B:Linux 基础、嵌入式系统项目开 发等)。

相关文档
最新文档