多功能电子时钟课程设计

多功能电子时钟课程设计
多功能电子时钟课程设计

数字电子技术课程设计报告

题目:数字钟的设计与制作

学年:10-11 学期:第一学期

专业:电子商务班级:01

学号:姓名:XXX

时间:2011年X月XX日—2011年X月XX 日

广东省高州市中英理工学校

前言

随着电子技术的不断发展,数字电子技术在设计中所体现在出来的优势越来越明显,它不仅是电子信息类专业的一个重要部分,而且在其它类专业工程中也是不可缺少的。报警电路、时序控制电路作为子系统的应用,发展更是迅速,已成为新一代一些电子设备不可缺少的核心部件,其现实生活中的运用也是非常普遍和广泛。

集成数字电子计时器的设计过程中,组成其电路的核心部分是几个电路的设计以其几种芯片功能应用,其中主要包括:控制电路,,校时电路,译码电路,优先编码电路,显示电路等。电子技术的发展促使这些电路被广泛的应用到一系列电子设备当中,时序控制电路也成为数字电子电路设计和制作过程中不可缺少的部分,并且设计简单,易于操作,可靠性好的优点。

对数字电子计时器设计的目的是为了更好的掌握几种芯片的工作原理,学会其电路的设计与主要性能参数测量方法以及掌握电子线路系统的装试和调试技术。本次设计分为四个主要步骤:一:构思和设计分频电路,校时电路和译码电路。二:根据设计要求和选择的电路通过计算选择元器件和参数,并准确无误的设计好要设计的电路原理图。三:在万能板或在面包板上根据设计电路原理进行元器件的电路安装和精细的调试。四:在安装好的电路板上进行闹钟功能的测试。

在此次课程设计的编写过程中参考了多种电子设计资料如《电子线路设计·实验·测试》(第二版),《数子电子技术基础》等。在编写此次课程设计的过程中由于本人的水平有限,在设计的过程中难免出现缺点和不足之处,还请老师批评和指正。

第一章:系统电路设计

1.1 系统总设计思路

数字电子计时器原理框图如图(1.1)所示,电路一般包括以下几个部分:振荡器、分频器、校时电路、时分秒计数器、译码显示电路。

图1.1 数字电子计时器原理框图

对于各部分

(1)振荡器用来产生相应频率的脉冲信号。

(2)分频器用来对振荡器产生的信号进行分频,从而得到电子计数器需要的1Hz秒脉冲。

(3)为使数字钟走时与标准时间一致,校时电路是必不可少的。设计中采用开关控制校时直接用秒脉冲先后对“时”“分”计数器进行校时操作。(4)计数电路,通过计数输出产生相应的二进制码元,再输入到译码器。

(5)译码电路和显示器为一个整体。通过译码器译码输入到数码管,最终显示出来。

1.2 设计方案选择

1.2.1 振荡部分

方案一晶体震振荡器电路

采用石英晶体振荡器。使用振荡频率为32768Hz的石英晶体和反向器构成一个稳定性好、精度高的时间信号源。改变电容C可以对振荡器的频率进行微调,再通过一个反相器,输出32768Hz的方波,将此方波的频率进行15次二分频后,在输出端刚好可得到频率为1Hz的脉冲信号。

方案二 555振荡器电路

振荡器是计时器的核心,其作用是产生一个标准频率的脉冲信号。振荡频率的精度和稳定度决定了数字钟的质量。采用集成电路555定时器与RC组成的多谐振荡器。

(比较)秒信号发生器是数字电子钟的核心部分,它的精度和稳度决定了数字钟的质量,但我们做实验考虑到用石音晶体振荡电路时分频电路用的元件较多且价格较贵,用555构成的电路元件容易得,电路简单且易于实现,故选方案二。

1.2.2 分频部分

方案一 CD4060构成的分频电路

通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。CD4060在数字集成电路中可实现的分频次数最高,为14级2进制计数器而且CD4060还包含振荡电路所需的非门。

方案二74LS90构成的分频电路

74LS90是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,

又可以作五进制和十进制加法计数器。将CP

2和Q

相连,计数脉冲由CP

1

输入,

Q 3、Q

2

、Q

1

、Q

作为输出端,则构成异步8421码十进制加法计数器。从而完成十

分频。

(比较)由于CD4060为2进制计数,要求精度比较高,在实际学习中对74LS90的了解比CD4060的了解要深,所以采用74LS90来做分频电路,所以选方案二。

1.2.3 校时部分

方案一慢校时

将低压电源通过一个开关连接到校时电路,通过开关的接通与断开产生高低电平,再通过部分逻辑门电路,从而得到所需要的脉冲信号完成校时。

方案二快校时

将校时1Hz脉冲信号与开关控制的信号取反再输到一个与非门,然后与另一个进位脉冲信号同时输入到一个与非门,最后输如到进位脉冲,只要开关接通,1Hz脉冲信号将连续输入到校时电路,完成快校时。

(比较)快校时电路由于脉冲源产生的1Hz脉冲信号比较稳定,实现方案相对简单,并且灵活易操作,选方案二。

1.2.4译码驱动显示部分

方案一译码器74LS48与共阴数码管电路

共阴数码管的译码器应选用74LS48,译码后输出为高电平,数码管的公共端接地,从而在数码管上将显示出相应的数字。

方案二共阳数码管电路

共阳数码管的译码器应选用74LS47,译码后输出为低电平,数码管公共端接正电源,将在数码管上显示出相应数字。

(比较)由于译码器74LS47在市面比较容易买到及多方面的原因,所以选用74LS47,数码管用共阳数码管。

综合上述方案的选择与比较,都选择方案二。主要是由于电器元件的熟悉程

度以及市场的供求关系。在方案二中,大部分的电器元件我们较熟悉并且更容易获得。

第二章 单元电路设计

2.1振荡器电路

2.1.1 用555作振荡器

采用集成电路555定时器与RC 组成的多谐振荡器。输出的脉冲频率为

=2)2+(1=121In C R R f 1KHz ,周期T =1=f S 1ms 。取电阻为千欧级,电

容0.01uF 到0.1uF 。若参数选择:R 1=R 2=10k 欧姆,C 1=47uF 时,可以得到秒脉冲信号。虽然直接得到了秒脉冲,但从计时精度的角度考虑,振荡器的振荡频率越高,钟表计时的精度就越高,所以一般不直接输出秒脉冲信号。 2.1.2 芯片管脚图及功能表介绍 (1)芯片管脚如图(2.1)

图2.1 555定时器管脚图

(2)芯片功能表 输 出 输 出 阀值输入(v 11)

触发输入(v 12)

复位(R D ) 输出(V O ) 发电管T × × 0 0 导通 <2/3V C C <1/3V C C 1 1 截止 >2/3V C C >1/3V C C 1 0 导通 <2/3V C C

>1/3V C C

1

不变 不变

表2.1 555定时器功能表

2.1.3振荡器单元电路图

图2.2 555定时器构成的振荡器电路工作原理

接通电源V

CC 后,V

cc

经电阻R

1

和R

2

对电容C冲电,其电压u

c

按由0按指数

规律上升。随着冲电达到饱和,电容C开始放电u

c

随之下降。由于电容C上的

电压u

c

将在2/3Vcc和1/3Vcc之间来回冲电和放电,从而使电路产生了振荡,输出矩形脉冲。

2.2分频器电路

2.2.1 用74LS90作分频器

通常实现分频器的电路是计数器电路,一般采用多级10进制计数器来实现。分频器的功能有两个:一是产生标准秒脉冲信号;二是提供功能扩展电路所需的信号。选用中规模集成电路74LS90可以完成以上功能。将3片74LS90级联,每片为1/10分频,三片级联正好获得1Hz的标准秒脉冲。

2.2.2 芯片74LS90的管脚图及功能表介绍

(1)芯片管脚如图(2.3)

图2.3 74LS90管脚图

(2)芯片功能介绍

74LS90是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

通过不同的连接方式,74LS90可以实现四种不同的逻辑功能;而且还可借助

R 0(1)、R

(2)对计数器清零,借助S

9

(1)、S

9

(2)将计数器置9。其具体功能详述如

下:

1)计数脉冲从CP

1输入,Q

作为输出端,为二进制计数器。

2)计数脉冲从CP

2输入,Q

3

Q

2

Q

1

作为输出端,为异步五进制加法计数器。

3)若将CP

2和Q

相连,计数脉冲由CP

1

输入,Q

3

、Q

2

、Q

1

、Q

作为输出端,

则构成异步8421码十进制加法计数器。

4)若将CP

1与Q

3

相连,计数脉冲由CP

2

输入,Q

、Q

3

、Q

2

、Q

1

作为输出端,

则构成异步5421码十进制加法计数器。

5)清零、置9功能。

a)异步清零

当R

0(1)、R

(2)均为“1”;S

9

(1)、S

9

(2)中有“0”时,实现异步清零功能,

即Q

D Q

C

Q

B

Q

A

=0000。

b)置9功能

当S

9(1)、S

9

(2)均为“1”;R

(1)、R

(2)中有“0”时,实现置9功能,即Q

D

Q

C

Q

B

Q

A

=1001。

(3)芯片功能表

输入输出

功能

清 0 置 9

钟Q D Q C Q B

Q

A

R

(1)、R

(2) S

9

(1)、S

9

(2) CP

1

CP

2

1 1

×

×

××0 0 0 0 清 0 0

×

×

1 1 ×× 1 0 0 1 置 9

0 ×

× 0

× 0

↓ 1 Q

A

输出

二进制计

1 ↓Q

D

Q

C

Q

B

输出

五进制计

↓ Q

A

Q

D

Q

C

Q

B

Q

A

输出

8421BCD码

十进制计

Q

D

Q

A

Q

D

Q

C

Q

B

输出

5421BCD码

十进制计

1 1 不变保持

2.2.3分频单元电路图

图2.4 三片74LS90构成的分频电路图

工作原理

由振荡器产生的1kHz信号通过U1,U2,U3三次十分频后得到1Hz的秒脉冲。即由U1的CP1输入十个脉冲后,从U1的Q3输出一个脉冲,同样U2,U3也是,最后1kHz的信号就转变成了我们所需要的1Hz的秒信号。

2.3校时电路

2.3.1 校时电路的设计

当数字计时器接通电源或者计时出现误差时,需要校正时间(或称校时)。校时是数字计时器应具备的基本功能。为使电路简单。这里只进行分和小时的校对。

对校时电路的要求是,在小时校正时不影响分和时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种,“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲做校时脉冲,

(图2.5)为校“时”,校“分”电路。其中S1为校“分”用的控制开关,S2为校“时”用的控制开关。校时脉冲采用分频器输出的1Hz 脉冲,当S1或S2分别为“0”时可进行“快校时”。秒的正常计数;在分校正 本设计采用“快校时”。 需要注意的是,校时电路是由与非门构成的组合逻辑电路,可用芯片74LS00和74LS04实现。开关S1或S2为“0”或“1”时,可能会产生抖动,接电容C1,C2可以缓解抖动。 2.3.2 芯片功能及管脚介绍

(1

)74LS00管脚如图(2.5)

图2.5 74LS00管脚图

(2)芯片功能介绍

74LS00为四二输入与非门,即Y AB 。当A=1,B=1时Y=0;

A=1,B=0时Y=1;A=0,B=1时Y=1;A=0,B=0时Y=1。

(3)芯片逻辑功能表

表2.3 74LS00功能表

(4)74LS04管脚如图(2.6)

输 入 输出

A B Y 1 1 0 1 0 1 0 1 1 0

1

图2.6 74LS04管脚图

(5)芯片功能介绍

74LS04为六反相器,即Y=A。当A=0时,Y=1;当A=1时,Y=0。

输入输出

A Y

0 1

1 0

2.3.3 校时单元电路图

图2.7 由74LS00和74LS04构成的校时电路

工作原理

当“S1”“S2”由接通到断开或由断开到接通时可能会产生抖动,接电容“C1”“C2”后可缓解抖动。取C1=C2=0.01uF,分压电阻R等于3.3千欧。

开关S闭合后,电路开始校时。非门1的输出也为高电平;所以非门b的输出只与校时脉冲有关。又由于与非门a的输出也为高电平,所以非门c的输出只与非门b的输出有关。因此与非门c的输出与校时脉冲相同。

开关S断开后,电路开始正常计时。非门1的输出为低电平,所以与非门b 的输出为高电平,因此与非门c的输出完全由与非门a的输出决定;又与非门a 的输入只与进位脉冲有关,所以电路进行正常计时。

2.4计数器电路

2.4.1 秒、分、时计数器设计

秒脉冲信号经过6级计数器,分别得到“秒”个位,十位、“分”个位、十位、“时”个位,十位的计时,小时为24进制,秒分计数器为60进制。

(1)24进制计数电路:小时计数电路是由两片74LS90组成的24进制计数电路,采用两片中规模集成电路74LS90串联接起来构成。

当“时”个位U8计数输入端CKA来到第10个触发信号时,U8计数器复零,进位端Q3向U9“时”十位计数器输出进位信号,当第24个“时”脉冲到达时,U8计数器的状态为0100,U9计数器的状态为0010,此时“时”个位计数器的Q2和“时”十位计数器的Q1输出为1。把它们通过一个与非门送到U8和U9计数器的清零端R01和R02通过74LS90内部的R01和R02清零,计数器复位,完成24进制计数。如图(2.8)

(2)60进制计数电路:秒计数器电路与分计数器电路都是60进制,它由一级10进制计数器和一级6进制计数器连接构成,采用两片中规模集成电路74LS90和74LS92串联接起来构成的秒、分计数器。

74LS90计数器是十进制异步计数器,用反馈归零方法实现十进制计数。Q3作为十进制的进位信号。74LS92计数器是十二(二~六)进制异步计数器,用反馈归零方法实现六进制计数,Q2作为六进制的进位信号。74LS90和74LS92都是在一秒时钟或进位信号的下降沿翻转计数,所以当74LS90计到9且74LS92计到5的时候再输入一个脉冲计数器全部归零。由次可见串联实现了六十进制计数。如图(2.9)

2.4.2 芯片74LS92的管脚图及功能表介绍。

(1)芯片管脚如图(2.8)

图2.8 74LS92的管脚图

(2)芯片功能介绍

74LS92是异步二—六—十二进制加法计数器,它既可以作二进制加法计数器,又可以作六进制和十二进制加法计数器。

通过不同的连接方式,74LS92可以实现四种不同的逻辑功能;而且还可借助

R 0(1)、R

(2)对计数器清零。其具体功能详述如下:

(a)计数脉冲从CP

1

输入,Q

作为输出端,为二进制计数器。

(b)计数脉冲从CP

2

输入,Q

3

Q

2

Q

1

作为输出端,为异步六进制加法计数器。

(c)若将CP

2

和Q

相连,计数脉冲由CP

1

输入,Q

3

、Q

2

、Q

1

、Q

作为输出端,

则构成异步8421码十二进制加法计数器。

(d)清零功能。

异步清零

当R

0(1)、R

(2)均为“1”;时,实现异步清零功能,即Q

3

Q

2

Q

1

Q

=0000。

输入输出功能清 0 时钟

Q

3

Q

2

Q

1

Q

R

(1)、R

(2) CP

1

CP

2

1 1 ××0 0 0 0 清 0

×

×

×× 1 1 0 0 置 12

0 ×

× 0

↓ 1 Q

输出二进制计数

1 ↓Q

3

Q

2

Q

1

输出六进制计数

↓ Q

A

Q

3

Q

2

Q

1

Q

输出

8421BCD码

十二进制计

1 1 不变保持

2.4.3芯片74LS08管脚图及功能介绍

(1)芯片管脚如图(2.9)

图2.9 74LS08管脚图

(2)芯片功能介绍

芯片74LS08为四二输入与门,即Y=AB。当A=1,B=1时Y=1;当A=1,B=0时Y=0;当A=0,B=1时Y=0;当A=0,B=0时Y=0。

输入输出

A B Y

1 1 1

1 0 0

0 1 0

0 0 0

2.4.4计数单元电路图

(1)24进制计数

图2.10 两片74LS90构成的24进制电路

工作原理

U8和U9都接成十进制计数器,当U9计到0010同时U8计到0100时,即U9

的Q

1和U8的Q

2

同为1再经一个与非门后连接到异步置零端清零,从而完成24

进制计数。

(2)60进制计数

图2.11 由74LS90和74LS92组成的60进制电路

工作原理

由于分和秒都是60进制,所以芯片90用U4.6表示,芯片92用U5.7表示。接为十进制计数器芯片90与接为六进制的芯片92相串联就构成了我们所需要的

六十进制计数器。芯片90用Q

3向前进位,芯片92用Q

2

向前一计时电路进位。

2.5译码驱动显示电路

2.5.1 译码驱动显示电路的设计

六个74LS47集成电路构成数字电子计时器的七段数码显示管显示译码/驱动器。74LS47七段显示译码器输出低电平有效,将计数器输出的8421BCD码译成七段(a、b、c、d、e、f、g)输出,用以直接驱动LED七段数码显示对应

的十进制数。

六个LED七段数码显示管利用不同发光段组合的方式显示不同数码,都采用+5V电源作为每段发光二极管的驱动电源。需要发光的段为高电平,不发光的段为低电平。设计中采用共阳极数码管,每段发光二极管的正向降压,随显示光的颜色有所不同,通常约3V~5V,点亮电流在5~10mA。六个LED七段数码显示管分别显示秒个位、十位;分个位、十位;时个位、十位的计数十进制数。

2.5.2 芯片74LS47和数码管的管脚图及功能表介绍

(1)芯片管脚如图(2.12)

图2.12 74LS47管脚图

(2)芯片功能介绍

芯片通过A,B,C,D输入四位不同的8421BCD码,将其翻译成16种不同的符号输出到显示器。

(3)芯片功能表

十进制功能

输入B

I

输出

D C B A a b c d e f g

0 1 2 3 10 0 0

10 0 1

0 0 1 0

0 0 1 1

H

H

H

H

0 0 0 0 0 0 1

1 0 0 1 1 1 1

0 0 1 0 0 1 0

0 0 0 0 1 1 0

4 5 6 7 0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

H

H

H

H

1 0 0 1 1 0 0

0 1 0 0 1 0 0

1 1 0 0 0 0 0

0 0 0 1 1 1 1

8 9

10

11 1 0 0 0

1 0 0 1

1 0 1 0

1 0 1 1

H

H

H

H

0 0 0 0 0 0 0

0 0 0 1 1 0 0

1 1 1 0 0 1 0

1 1 0 0 1 1 0

12

13

14

15 1 1 0 0

1 1 0 1

1 1 1 0

1 1 1 1

H

H

H

H

1 0 1 1 1 0 0

0 1 1 0 1 0 0

1 1 1 0 0 0 0

1 1 1 1 1 1 1

(4)数码管结构如图(2.13)

图2.13 数码管字型结构图

2.5.3译码驱动显示单元电路图

图2.14 74LS47与数码管连接图

工作原理

译码器74LS47通过四个输入端A,B,C,D输入0~15个不同的二进制码元,将其翻译成不同的高低电平组合,从而在数码管上显示出相对应的16个不同的数字符号。本设计最多只需0000~1001九个不同的二进制码元,所以数码管只显示0~9九个数字。

第三章仿真及测试

3.1仿真平台

3.1.1 Proteus简介

Proteus是目前最好的模拟单片机外围器件的工具。可以仿真51 系列、A VR,PIC 等常用的MCU 及其外围电路(如LCD,RAM,ROM,键盘,马达,LED,AD/DA,部分SPI 器件,部分IIC 器件,...)其实proteus 与 multisim比较类似,只不过它可以仿真MCU!当然,软件仿真精度有限,而且不可能所有的器件都找得到相应的仿真模型,用开发板和仿真器当然是最好选择,可是估计初学者有的可能性比较小吧?如果你在51 单片机,如果自己动手做LCD,LED,AD/DA,直流马达,SPI,IIC,键盘,...的小实验的话,是可以做的很成功的!用51 不管是用汇编或是 C 编程当然要用keil ,uvisoin3 有不少新特性呢!使用keil c51 v7.50 + proteus 6.7 可以像使用仿真器一样调试程序,一般而言,微机实验中用万利仿真器+电工系自己做的实验板的实验都可以做得到!当然,硬件实践还是必不可少的!

Proteus 与其它单片机仿真软件不同的是,它不仅能仿真单片机CPU 的工作情况,也能仿真单片机外围电路或没有单片机参与的其它电路的工作情况。因此在仿真和程序调试时,关心的不再是某些语句执行时单片机寄存器和存储器内容的改变,而是从工程的角度直接看程序运行和电路工作的过程和结果。对于这样的仿真实验,从某种意义上讲,是弥补了实验和工程应用间脱节的矛盾和现象。

3.1.2 仿真测试

1.启用Proteus,对于我们所需要测试的参数主要是分频电路的三块74LS90的输出脉冲频率及脉冲稳定性。

2.从元器件库中调出各种系列的芯片、电容、电感、电阻以及示波器等我们所需要的各种元器件,元器件调出后,认真连接各元件,对元器件的位置进行调整以求电路原理图美观简易,并保存图,使布局比较合理。数字电子计时器的仿真原理图及仿真结果如(图

3.1~3.4)所示。(见21,22页)注:仿真时一次分频,二次分频,三次分频对应的时间档位分别为0.002S,0.02S,0.2S。又每个脉冲占5格,所以对应的频率分别为100Hz,10Hz,1Hz。

图3.1 一次分频的输出脉冲波形

图3.2 二次分频的输出脉冲波形

电子时钟课程设计.

单片机实训课题电子时钟 班级11电气本1班学号4110211140 姓名陈后亥 指导教师叶文通 日期2013.12.30~2014.1.3

摘要 随着时代的进步,越来越多的电子厂品趋向于低成本,高性能,耐用性好的方向发展。特别是趋向于自动化控制的方向走。89c51作为控制芯片是最好不过的选择啦。它具有强大的功能,并且简单易于操作,安全性与稳定性较高,价格便宜,适合中小型电子厂品开发中的控制器。就像我们的课程设计,基于89c51单片机的电子时钟的课程设计。 这款课程设计用到的主要材料有89c51单片机,1602液晶显示屏,矩阵键盘,以及一些电容电阻元件等等。 使用89c51作为电子时钟的控制器很简单,就是由于其经济型与稳定性和易操作性。显示电路上,选择使用1602液晶显示屏上。1602不仅操作上臂数码管简单许多,而且使用1602能在很大程度上是电路图尽量简化,便于操作与错误的检修。并且1602价格也比较便宜。 基于89c51电子时钟的设计,利用了单片机内部的一个自带定时/计数器来实现定时功能,并通过内部程序,实现对时分秒,年月日这几个输出数值的自增,并且通过编写程序,实现通过键盘控制时分秒,年月日大小的调整,这是必要的功能。最后通过1602液晶显示电路将时间显示在其上。 这样的电子时钟比较精准,其主要误差来源与晶振的误差,即使是这样,他的误差也只是微妙级别,对于日常生活中的时间计数是足够的。 关键词:89c51单片机;1602液晶显示屏;矩阵键盘;keil软件

目录摘要 1单片机简介 1.1 单片机概述 1.2 单片机基本结构 21602液晶显示屏简介 1.11602显示原理 1.21602指令集合 3 电子时钟硬件设计 3.1 功能框图 3.2 单片机复位与晶振电路 3.3 1602显示电路 3.4 总体电路设计 4 电子时钟软件设计 4.1 程序流程框图 4.2 程序源代码 参考文献 致谢

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

电子钟课程设计

数字电子技术课程设计报告 设计题目:数字电子钟的设计 课程设计时间2011..24~2011..30 院系:XX纺织大学电子信息工程学院 班级:电气094 设计学生:杨海X爱祥 一、数电课程设计的目的: 数字电子技术课程设计是在学习完数字电子电路课程之后,按照课程教学的要求,对学生进行综合性训练的一个实践性教学环节。主要目的是培养学生综合运用理论知识能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力;了解数字电子电路的一般设计方法,初步掌握数字电子线路安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装、调试方法。 二、设计题目及内容 、设计题目:数字电子时钟 2、内容和要求: ()时间以24 小时为一个周期; (2)显示时、分、秒;

(3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; (4)根据要求阅读数字时钟电路原理图,阅读教材及查找相关资料,叙述工作原理; (5)画出包含+5 伏的稳压电源在内的原理电路图,根据原理图画出对应的印刷电路图,并在图中标出元器件的符号及代码; (6)安装、焊接、连线、调试电路; (7)最后提交调试好的设计作品,撰写并提交实验、调试报告,解答思考题。 三、功能及简单工作原理数字电子钟的原理方框图 如下图()所示。干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60 进制计数器,每累计60 秒发现一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60 进制计数器,每累计60 分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”“时计数器”采用24 进制计时器,可实现对一天24 小时的累计。。译码显示电路将“时”“分”“秒”计数器的输出状态由七段显示译码器译码,通过六位LED 七段显示器显示出来。校时电路是用来对“时”“分”“秒”显示数字进行校对调整的。

数字电子时钟毕业设计

数字电子时钟毕业设 计 Revised on November 25, 2020

毕业设计(论文) 题目:多功能数字电子时钟 毕业时间:二O一二年七月 学生姓名:梁宇 指导教师:林喆 班级: 09电缆(1)班 2011 年 10月18日 摘要 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。 振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。 分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。 计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。

译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。 由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。 为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。 关键词数字钟振荡计数校正报时 目录 1 设计目的 (4) 2 设计任务 (4) 设计指标 (4) 设计要求 (4) 3数字电子钟的组成和工作原理 (4) 数字钟的构成 (4) 原理分析 (4) 数字点钟的基本逻辑功能框图 (5) 4.数字钟的电路设计 (5) 电源电路的设计 (5) 秒信号发生器的设计 (6) 4.2.1方案一 (6) 4.2.2方案二 (6)

基于单片机的电子时钟课程设计报告

目录 一、引言········ 二、设计课题········· 三、系统总体方案········· 四、系统硬件设计······ 1.硬件电路原理图 2.元件清单 五、系统软件设计········· 1.软件流程图 2.程序清单 六、系统实物图········ 七、课程设计体会········ 八、参考文献及网站········· 九、附录·········

一.引言 单片机因将其主要组成部分集成在一个芯片上而得名,就是把中央处理器、随机存储器、只读存储器、中断系统、定时器/计数器以及I/O接口电路等部件集成在一个芯片上。 基于单片机设计的数字钟精确度较高,因为在程序的执行过程中,任何指令都不影响定时器的正常计数,即便程序很长也不会影响中断的时间。 数字钟是采用数字电路实现对日期、时、分、秒,数字显示的计时装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表的报时功能。数字钟已成为人们日常生活中的必需品,广泛应用于家庭、车站、码头、剧院、办公室等场所,给人们的生活、学习、工作带来极大的方便。不仅如此,在现代化的进程中,也离不开电子钟的相关功能和原理,比如机械手的控制、家务的自动化、定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。而且是控制的核心部分。因此,研究数字钟及扩大其应用,有着非常现实的意义。 本设计使用12MHZ晶振与单片机AT89C51相连接,以AT89C51芯片为核心,采用动态扫描方式显示,通过使用该单片机,加之在显示电路部分使用HD74LS373驱动电路,实现在8个LED数码管上显示时间,通过4个按键进行调时、复位等功能,在实现各功能时数码管进行相应显示。软件部分用C语言实现,分为显示、延迟、调时、复位等部分。通过软硬件结合达到最终目的。

多功能数字电子钟-数电课程设计

华南理工大学广州学院 数字电路课程设计报告 题目:多功能数字电子钟 专业:自动化 班级:一班 姓名:姚楸 同组队员:陈杰涛、姚楸、李卓鸿、刘志健、 吴壁文、陈孟鹏、黎杰豪、江泉河 学号: 201130087082 日期:2013年1月

一、设计目的 为了巩固课本所学知识,培养动手能力和实际解决问题的能力,加深对课 堂知识的理解和运用,进一步学习和熟悉各种常用芯片的规格和使用,能 掌握电路的组装和基本问题的排除。通过课程设计要实现以下两个目标:一、学生初步掌握电子线路的设计、组装及调试方法。即学生根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计要求和设计指标: a设计一个能显示时、分、秒的数字钟,显示时间从00:00:00到23:59:59; b设计的电路包括产生时基信号,时、分、秒的计时电路,显示电路。 c具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; d计时过程具有整点报时功能,当时间到达整点前10秒进行蜂鸣报时 三、总体框图设计 本设计通过555定时器产生1HZ的方波通过加法器进行计数, 计数后产生的BCD码通过译码器译码最后通过数码管显示出 来。

四、功能模块设计和原理说明 1、秒脉冲发生器 秒信号发生电路由集成电路555定时器与RC组成的多谐振荡器构成。555

(完整版)基于FPGA的数字电子时钟毕业设计论文

目录 第一章绪论 ............................................................ 1.1选题背景.......................................................... 1.1.1 课题相关技术的发展............................................ 1.1.2 课题研究的必要性.............................................. 1.2课题研究的内容....................................................第二章 FPGA简介........................................................ 2.1FPGA概述.......................................................... 2.2FPGA基本结构...................................................... 2.3FPGA系统设计流程.................................................. 2.4FPGA开发编程原理.................................................. 2.5Q UARTUS II设计平台.................................................. 2.5.1 软件开发环境及基本流程........................................ 2.5.2 具体设计流程 (1) 第三章数字钟总体设计方案 (1) 3.1数字钟的构成 (1) 3.2数字钟的工作原理 (1) 3.3数字钟硬件电路设计 (1) 第四章单元电路设计 (1) 4.1分频模块电路设计 (1) 4.2校时控制模块电路设计 (1) 4.2.1 按键消抖 (1) 4.2.2 按键控制模块 (1) 4.3计数模块 (2) 4.4译码显示模块 (2)

电子课课程设计电子钟

南航数字电子技术课程设计报告 题目:数字钟的设计与制作 学年:06学年学期:第二学期 专业:机械工程及自动化 班级:0504107 学号姓名:李晓云 吉晶晶 时间:2006年6月30日— 2006年7月3日 数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.

二、设计内容及要求 (1)设计指标 ①由晶振电路产生1HZ标准秒信号; ②分、秒为00~59六十进制计数器; ③时为00~23二十四进制计数器; ④周显示从1~日为七进制计数器; ⑤具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时 间; ⑥整点具有报时功能,当时间到达整点前鸣叫五次低音(500HZ),整点 时再鸣叫一次高音(1000HZ)。 (2)设计要求 ①画出电路原理图(或仿真电路图); ②元器件及参数选择; ③电路仿真与调试。 (3)制作要求自行装配和调试,并能发现问题和解决问题。 (4)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 三、原理框图 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。数字电子钟的总体图如图(1)所示。由图

多功能数字电子钟的设计

学号20103010342 毕业设计说明书 设计题目多功能数字电子钟的设计 系部机械电子系 专业机电一体化 班级机电103 班 姓名关付玲 指导教师肖玉玲 2012年 10月 13日

摘要 摘要:数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时,整点报时和校时的功能。在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。实验证明该设计电路基本上能够符合设计要求! 关键词:计数器;译码显示器;校时电路;

Abstract Abstract:Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagram is painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement! Key words:Counter ,ten decoding display , citcuit Shool

电子时钟课程设计_数电课程设计数字电子时钟的实现

电子时钟课程设计_数电课程设计数字电子 时钟的实现 课程设计报告设计题目:数字电子时钟的设计与实现班级: 学号: 姓名: 指导教师: 设计时间: 摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。通过仿真过程也进一步学会了Multisim 7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输 出方式灵活,如可以随意设置时、分、秒的输出,定点报时。由于集 成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、 性能稳定、维护方便等优点。 关键词:数字钟,组合逻辑电路,时序电路,集成电路目 录摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1设计任务 (4) 2.2设计要求 (4) 第3章系统设计 (6) 3.1方案论证 (6) 3.2系统设计 (6) 3.2.1 结构框图及说明 (6) 3.2.2 系统原理图及工作原理 (7) 3.3单元电路设计 (8) 3.3.1 单元电路工作原理 (8) 3.3.2 元件参数选择···································14 第 4章软件仿真 (15) 4.1仿真电路图 (15) 4.2仿真过程 (16)

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

单片机数字电子时钟毕业设计

单片机数字电子时钟毕业设计 分类号: 本科生毕业论文 2010 届 题目: 基于51的数字式时钟设计与实现 作者姓名: 冯龙华 学号: 2007110101 系(院)、专业: 计算机科学与技术系 计算机科学与技术 指导教师姓名: 张波 指导教师职称: 讲师 2011年 4 月 25 日 基于51的数字式时钟设计与实现 目录 摘 要 ..................................................................... . (1) 前 言 ..................................................................... . (2)

概 论 ..................................................................... ................................. 错误~未定义书签。3 第一 章 ..................................................................... .. (3) 1.1概 述 ..................................................................... .. (3) 1.2 单片机的发展历 程 ..................................................................... ........................................... 3 1.3 时钟的特 性 ..................................................................... .................................................... 3 2 系统原理与硬件设 计 ..................................................................... . (4) 2.1 硬件选择...................................................................... . (4) 2.2 单片机的构 成 ..................................................................... ................................................. 4 2.3 STC89C52单片机的引脚说

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

多功能数字钟的设计

《Protel应用》课程设计任务书 学生姓名:专业班级: 指导教师:黄铮工作单位:信息工程学院 题目:多功能数字钟的设计 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 一、训练内容和要求 1、绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。可以涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。 2、绘制相应电路原理图的双面印刷版图*.pcb。对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图。 3、基本动手能力和知识应用能力强化训练 1)学习PROTEL软件; 2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 二、初始条件 模电数电基本知识;计算机;MULTISIM 软件;PROTEL软件 时间安排: 6.13:理论设计 6.14~6.17:安装调试仿真 6.18~6.19:撰写报告 6.20:答辩 指导教师签名:年月日

系主任(或责任教师)签名:年月日 目录 摘要 (1) Abstract (2) 1 设计要求 (3) 2.1脉冲产生电路 (3) 2.1.1振荡器的设计 (3) 2.1.2分频器的设计 (5) 2.2记时电路 (6) 2.2.1分秒记时部分设计 (7) 2.2.2时记时部分设计 (8) 2.2.3显示电路 (8) 2.2.3校准电路 (9) 2.2.3总体设计电路 (10) 2.2.3仿真验证 (11) 3 Protel的运用 (12) 3.1创建项目 (12) 3.2摆放元件 (14) 3.3绘制原理图 (15) 3.4更改元件属性 (16)

数字电子钟设计报告

《电子线路课程设计报告》 系别:自动化 专业班级:自动化0803 学生姓名:冯刚 指导教师:朱定华 (课程设计时间:2010年05月31日——2010年06月12日) 华中科技大学武昌分校

目录 1.课程设计目的 (3) 2.课程设计题目描述和要求 (3) 3.课程设计报告内容.....................................................................3-9 3.1实验名称 (3) 3.2实验目的 (3) 3.3实验器材及主要器件 (3) 3.4数字电子钟基本原理 (4) 3.5数字电子钟单元电路设计、参数计算和器件选择..............................4-8 3.6数字电子钟电路图 (8) 3.7数字电子钟的组装与调试............................................................8-9 4.总结 (9) 参考文献 (10)

1.课程设计目的 ※掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; ※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;※提高电路布局﹑布线及检查和排除故障的能力; ※培养书写综合实验报告的能力。 2.课程设计题目描述和要求 (1)设计一个有“时”、“分”、“秒”(12小时59分59秒)显示,且有校时功能的电子钟; (2)用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试; (3)画出框图和逻辑电路图,写出设计、实验总结报告; (4)选做:整点报时。在59分51秒、53秒、55秒、57秒输出500Hz音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz荧屏结束时刻为整点。 3.课程设计报告内容 3.1实验名称 数字电子钟 3.2实验目的 ·掌握数字电子钟的设计、组装与调试方法; ·熟悉集成电路的使用方法。 3.3实验器材及主要器件 (1)74LS48(6片)(2)74LS90(5片)(3)74LS191(1片)(4)74LS00(5片)(5)74LS04(3片)(6)74LS74(1片)(7)74LS2O(2片) (8)555集成芯片(1片) (9)共阴七段显示器(6片)(10)电阻、电容、导线等(若干)

电子时钟课程设计模板

电子时钟课程设计 电子时钟设计 一、课程设计目的和意义 掌握8255、 8259、 8253芯片使用方法和编程方法, 经过本次课程设计, 学以致用, 进一步理解所学的相关芯片的原理、内部结

构、使用方法等, 学会相关芯片实际应用及编程, 系统中采用8088微处理器完成了电子钟的小系统的独立设计。同时并了解综合问题的程序设计掌握实时处理程序的编制和调试方法, 掌握一般的设计步骤和流程, 使我们以后搞设计时逻辑更加清晰。 二、开发环境及设备 1、设计环境 PC机一台、 windows 98系统、实验箱、导线若干。 2、设计所用设备 8253定时器: 用于产生秒脉冲, 其输出信号可作为中断请示信号送IRQ2。 8255并口: 用做接口芯片, 和控制键相连。 8259中断控制器: 用于产生中断。 LED: 四个LED用于显示分: 秒值。 KK1或KK2键与K7键, 用于控制设置。 三、设计思想与原理 1、设计思想 在本系统设计的电子时钟以8088微处理器作为CPU, 用8253做定时计数器产生时钟频率, 8255做可编程并行接口显示时钟和控制键电路, 8259做中断控制器产生中断。在此系统中, 8253的功能是定时, 接入8253的CLK信号为周期性时钟信号。8253采用计数器0, 工作于方式2, 使8253的OUT0端输出周期性的负脉冲信

号。即每隔20ms, 8253的OUT0端就会输出一个负脉冲的信号, 此信号接8259的IR2, 当中断到50次数后, CPU即处理, 使液晶显示器上的时间发生变化。 其中8259只需初始化ICW1, 其功能是向8259表明IRx输入 是电瓶触发方式还是上升沿触发方式, 是单片8259还是多片8259。8259接收到信号后, 产生中断信号送CPU处理。 2、设计原理 利用实验台上提供的定时器8253和扩展板上提供的8259以 及控制键和数码显示电路, 设计一个电子时钟, 由8253中断定时, 控制键控制电子时钟的启停及初始值的预置。电子时钟的显示格 式MM: SS由左到右分别为分、秒, 最大记时59: 59超过这个时间分秒位都清零从00: 00重新开始。 基本工作原理: 每百分之一秒对百分之一秒寄存器的内容加一, 并依次对秒、分寄存器的内容加一, 四个数码管动态显示分、秒 的当前值。 三、设计所用芯片结构 1、 8259A芯片的内部结构及引脚 中断控制器8259A是Intel公司专为控制优先级中断而设计开发的芯片。它将中断源优先排队、辨别中断源以及提供中断矢量的电路集中于一片中。因此无需附加任何电路, 只需对8259A编程, 就能够管理8级中断, 并选择优先模式和中断请求方式, 即中断

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。

XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只要在XTAL1 和XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET: 89S51的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp: "EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG: 端口3的管脚设置: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。

单片机电子时钟课程设计设计报告

单片机电子时钟设计 一、作品功能介绍 该作品是个性化电子钟设计,技术上主要用单片机(AT89S52)主控,6位LED数码显示,分别显示“小时:分钟:秒”。该作品主要用于24小时计时显示,能整时报时,能作为秒表使用,能定时闹铃1分钟。 功能介绍: (1)上电以后自动进入计时状态,起始于00:00:00。 (2)设计键盘调整时间,完成时间设计,并设置闹钟。 (3)定时时间为1/100秒,可采用定时器实现。 (4)采用LED数码管显示,时、分,秒采用数字显示。 (5)采用24小时制,具有方便的时间调校功能。 (6)具有时钟和秒表的切换功能。 使用方法: 开机后时钟在00:00:00起开始计时。 (1)长按P3.2进入调分状态:分单元闪烁,按P3.2加1,按P3.3减1.再长按P3.2进入时调整状态,时单元闪烁,加减调整同调分.按长按退出调整状态。 (2)(2)按P3.3进入设定闹时状态: 12:00: ,可进行分设定,按P3.4分加1,再按P3.2为时调整,按P3.4时加1,按P3.3调闹钟结束.在闹铃时可按P3.2停闹,不按闹铃1分钟。 (3)按下P3.4进入秒表状态:再按P3.4秒表又启动,按P3.4暂停,再按P3.4秒表清零,按P3.4退出秒表回到时钟状态。 二、电路原理图 如原理图所示,硬件系统主要由单片机最小应用系统、LED数码管显示模块、电源模块、晶振模块、按键模块等组成。

电子时钟原理图 各个模块设计 1.单片机系统 AT89S52 AT89S52概述:是一款非常适合单片机初学者学习的单片机, 它完全兼容传统的8051,8031的指令系统,他的运行速度 要比8051快最高支持达33MHz的晶体震荡器,在此系统中 使用12MHz的晶振。 AT89S52具有以下标准功能: 8k字节Flash,256字节 RAM,32 位I/O 口线,看门狗定时器,2 个数据指针,三 个16 位定时器/计数器,一个6向量2级中断结构,全双 工串行口,片内晶振及时钟电路。另外,AT89S52 可降至 0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模 式下,CPU停止工作,允许RAM、定时器/计数器、串口、中 断继续工作。掉电保护方式下,RAM内容被保存,振荡器被

相关文档
最新文档