数电课设论文(邸晨星)

数电课设论文(邸晨星)
数电课设论文(邸晨星)

目录

第一章摘要 (1)

第二章方案论证 (2)

2.1设计的目的和任务 (3)

2.2设计要求 (3)

第三章电路原理图 (4)

3.1电路原理图 (4)

3.2 VCC值的确定 (4)

3.2延时的确定 (4)

第四章元器件简介 (5)

4.1 元器件清单 (5)

4.2元器件简介 (5)

第五章电路的调试与焊接 (11)

5.1电路的调试 (11)

5.2焊接时注意事项 (12)

第六章心得与体会 (15)

第七章附页 (17)

参考文献 (17)

附图 (18)

第一章摘要

公共场所和居民居住区的公共楼道普遍使用机械手动开关,由于各种原因往往出现许多灯泡点亮长明的现象,故使灯泡寿命短,浪费电量,为国家、单位、个人造成经济损失。另外,由于频繁开关或其他人为因素,墙壁开关的损坏率很高,既增大了维修量、浪费了资金,又容易造成事故隐患。因此,设计研制一种电路新颖、安全节电、结构简单、安装方便的声光双控白炽灯节能自动开关显得相当有必要。使公共场所和居民居住区的公共楼道灯在白天时不亮,晚上闻声自亮,待人走后,几十秒后自动关闭,既方便又省电。

以往的声控开关大多都是应用模拟电子技术进行设计,分立元件多,不可靠,如今单片机技术已经相当成熟,运用单片机可以设计出智能型的声控开关,电路设计好后,运用软件编程来实现其功能,灵活方便,修改简单。

目前许多声控开关的平均使用寿命不长,主要是因为电路作频繁的开关,启动电流非常大,导致功率元件可控硅由于过载而损坏。本文中采用开关电压过零保护技术,可消除白炽灯开启瞬间的大电流冲击,有效地防止可控硅元件启动时的电流过载,大大地延长了开关的使用寿命,并且可以起到保护灯泡的作用。

本次课程设计的任务是楼道声控灯,根据模拟电子和数字电子所学的知识自主设计,在老师的指导下以小组为单位组装实物并调试。如今,人们对声控技术越来越熟悉。用声音代替肢体动作给人们带来了很多的好处和便利。因此,越来越多的声控设备广泛地应用到人们的生活当中。

第二章方案论证

声控灯包括灯负载RL、可控硅SCR、话筒MIC及声控电路,灯负载RL与可控硅SCR串接后与电源相连,话筒将声音信号转换成电信号,声控电路通过声音信号控制可控硅SCR的导通状态,其特征在于,所述声控电路包括电源部分,谐振放大部分及触发器,电源部分通过电容降压、整流及稳压提供声控电路所需的直流电压,谐振放大部分包括多极三极管放大,话筒接在第一级放大三极管的基极上并由电容耦合,一个由电感和电容构成的振荡电路接在末级放大三极管的基极上,放大后的信号影响触发器的翻转,并最终控制可控硅的控制极的电位。

准确说我们说的的声控灯应该全名为--声光控灯。因为和光线也有关系。白天你放鞭炮它都不会亮。

光控电子开关,它的“开”和“关”是靠可控硅的导通和阻断来实现的,而可控硅的导通和阻断又是受自然光的亮度(或人为亮度)的大小所控制的。该装置适合作为街道、宿舍走廊或其它公共场所照明灯,起到日熄夜亮的控制作用,以节约用电。

220V交流电通过灯泡H及整流全桥后,变成直流脉动电压,作为正向偏压,加在可控硅VS及R支路上。白天,亮度大于一定程度时,光敏二极管D呈现底阻状态≤1KΩ,使三极管V截止,其发射极无电流输出,单向可控硅VS因无触发电流而阻断。此时流过灯泡H的电流≤2.2mA,灯泡H不能发光。电阻R1和稳压二极管DW使三极管V偏压不超过6.8V,对三极管起保护作用。夜晚,亮度小于一定程度时,光敏二极管D呈现高阻状态≥100KΩ,使三极管V正向导通,发射极约有0.8V的电压,使可控硅VS触发导通,灯泡H发光。RP是清晨或傍晚实现开关转换的亮度选择元

件。

2.1设计的目的和任务

1.巩固加深对模拟电子技术和数字电子技术基础知识的理解,提高综合利用所学知识的能力,培养学生独立分析问题,解决问题的能力。

2.通过查找资料、选方案、设计电路、仿真和调试、写报告等环节的训练,熟悉设计过程、步骤。为今后从事电子线路的设计,研制电子产品打下基础。

3.设计模拟和数字混合电路,实现特定功能,学习这一技能,积累这一方面的经验。

2.2设计要求

1.设计办公大楼、写字楼或居民住宅楼内应用的声控灯。

2.在白天亮度较高的时候,即楼道内光线充足时此开关关闭,灯熄灭。

3.夜晚楼道内光线很暗时,若楼道内充分安静,此灯不启动。4.若在光线很暗的楼道内,有人发出声响,此灯会自动开启发亮。5.画出电路图

(1)用protel 绘制电路图

(2)布局合理、排列均匀,图面清晰,便于看图,有利于对图的理解和阅读。

(3)图形符号要标准,图中应加适当的标注。

第三章电路原理图

3.1电路原理图

见附页图3—1

3.2 VCC值的确定

可由R3,R1的分压作用将家用电压经整流后所得电压V0=220x1.414=311V,输入电压的表达式为VCC=R1*V0/(R1+R3)算得VCC=5V。

3.2延时的确定

图3——2

第四章元器件简介

4.1 元器件清单

NE555 一块电阻 6个

光敏电阻 1个话筒 1个

电容 2个 SM74LS122 1个

运放LM358 1个发光二极管 1个4.2元器件简介

NE555内部结构原理

图4——1 NE555内部结构原理图

555定时器由3个阻值为5kΩ的电阻组成的分压器、两个电压比较器C1和C2、基本RS触发器、放电三极管TD和缓冲反相器G4组成。虚线边沿标注的数字为管脚号。其中,1脚为接地端;2脚为低电平触发端,由此输入低电平触发脉冲;6脚为高电平触发端,由此输入高电平触发脉冲;4脚为复位端,输入负脉冲(或使其电压低于0.7V)可使555定时器直接复位;5脚为电压控制端,在此端外加电压可以改变比较器的参考电压,不用时,经0.01uF的电容接地,以防止引入干扰;7脚为放电端,555定时器输出低电平时,放电晶体管TD导通,外接电容元件通过TD放

电;3脚为输出端,输出高电压约低于电源电压1V—3V,输出电流可达200mA,因此可直接驱动继电器、发光二极管、指示灯等;8脚为电源端,可在5V—18V范围内使用。

555定时器工作时过程分析如下:

5脚经0.01uF电容接地,比较器C1和C2的比较电压为:

UR1=2/3VCC、UR2=1/3VCC。

当VI1>2/3VCC,VI2>1/3VCC时,比较器C1输出低电平,比较器C2输出高电平,基本RS触发器置0,G3输出高电平,放电三极管TD导通,定时器输出低电平。

当VI1<2/3VCC,VI2>1/3VCC时,比较器C1输出高电平,比较器C2输出高电平,基本RS触发器保持原状态不变,555定时器输出状态保持不来。

当VI1>2/3VCC,VI2<1/3VCC时,比较器C1输出低电平,比较器C2输出低电平,基本RS触发器两端都被置1,G3输出低电平,放电三极管TD截止,定时器输出高电平。

当VI1<2/3VCC,VI2<1/3VCC时,比较器C1输出高电平,比较器C2输出低电平,基本RS触发器置1,G3输出低电平,放电三极管TD截止,定时器输出高电平。

NE555引脚图

图4——2 NE555引脚图

SM74LS122引脚图及功能

图4——3 SM74LS122引脚图

光敏电阻结构图

图4——4 光敏结构图

简介

光敏电阻器是利用半导体的光电效应制成的一种电阻值随

入射光的强弱而改变的电阻器;入射光强,电阻减小,入射光弱,电阻增大。光敏电阻器一般用于光的测量、光的控制和光电转换(将光的变化转换为电的变化)。常用的光敏电阻器硫化镉光敏电阻器,它是由半导体材料制成的。光敏电阻器的阻值随入射光线(可见光)的强弱变化而变化,在黑暗条件下,它的阻值(暗阻)可达1~10M欧,在强光条件(100LX)下,它阻值(亮阻)仅有几百至数千欧姆。光敏电阻器对光的敏感性(即光谱特性)与人眼对可见光(0.4~0.76)μm的响应很接近,只要人眼可感受的光,都会引起它的阻值变化。设计光控电路时,都用白炽灯泡(小电珠)光线或自然光线作控制光源,使设计大为简化。

结构

通常,光敏电阻器都制成薄片结构,以便吸收更多的光能。当它受到光的照射时,半导体片(光敏层)内

图4——5光敏电阻外形图和电路符号

就激发出电子—空穴对,参与导电,使电路中电流增强。为了获得高的灵敏度,光敏电阻的电极常采用梳状图案,它是在一定的掩膜下向光电导薄膜上蒸镀金或铟等金属形成的。一般光敏电阻器结构如右图所示。

光敏电阻器通常由光敏层、玻璃基片(或树脂防潮膜)和电极等组成。光敏电阻器在电路中用字母“R”或“RL”、“RG”表示

运放LM358

图4——6 运放LM358结构图

LM358 内部包括有两个独立的、高增益、内部频率补偿的双运算放大器,适合于电源电压范围很宽的单电源使用,也适用于双电源工作模式,在推荐的工作条件下,电源电流与电源电压无关。它的使用范围包括传感放大器、直流增益模块和其他所有可用单电源供电的使用运算放大器的场合。

第五章电路的调试与焊接

5.1电路的调试

当一个电路板焊接都完成后,在检查该电路板是否可以正常工作时,通常不要直接给电路板供电,而是要按下面的步骤进行检查,确保每一步都没有问题后在上电也不迟,以免造成不必要的危险。

(1)连线是否正确

如今,大家都是使用电路绘制软件进行电路板的设计,但是还是建议大家先画原理图在生成网络表来生成PCB的连接,有很多的初学者学习PCB电路板的软件是都是直接话PCB(我初学时就是这样的),在单片机的入门和设计各个小实验电路板时都是直接在元件库中拉出元件封装来话PCB,通常会导致很多管脚的错连。

如果你是使用很规范的电路设计步骤来设计的电路板,那么你的原理图是你检查的关键,这里需要检查的地方主要在芯片的电源和网络节点的是否标注正确,同时也要注意网络节点是否有重叠的现象,这是检查的重点。

另一个检查的重点是元件的封装。现在很多的芯片的封装的不同,其引脚的顺序也是不同的,以前记得一个研究生在调试NEC的处理器是就有类似的事情发生,我记得他选用的是NEC的

UPG78F9222的8为Flash处理器,但是其在设计电路时原理图中的是DIPPCB的封装对应的引脚,但是在PCB中是贴片的元件,管

叫完全的不对应,导致上电是老是少芯片,但是这样就会给调试者误导以为是自己的电源有问题!

(2)电源接口是否有短路现象

这里就体现出调试之前不上电的原因,有的电源接口短路,这样会造成你的电源烧坏。有时会有电源爆炸的事故发生。使用万用表测量一下电源的输入阻抗。这是必须的步骤。

再设计是电源部分可以使用一个0欧姆的电阻来作为调试方法,上电前先不焊接电阻,检查电源的电压正常后在将电阻焊接在PCB上给后面的单元供电。以免造成上电由于电源的电压不正常而烧毁后面单元的芯片,但是贴片的就更麻烦!

电路设计中增加保护电路,比如使用自恢复保险丝等元件。

(3)元器件安装情况

主要是检查有极性的元器件,如发光二极管,电解电容,整流二极管等。以及三极管的管脚是否对应,对于三极,同一功能的不同厂家其管教的排序也是不同的。所以最好使用万用表测试一下!5.2焊接时注意事项

1. 呈圆焊接顺序

元器件装焊顺序依次为:电阻器、电容器、二极管、三极管、集成电路、大功率管,其它元器件为先小后大。

2. 芯片与底座都是有方向的,焊接时,要严格按照PCB 板上的缺口所指的方向,使芯片,底座与PCB三者的缺口都对应。

3. 焊接时,要使焊点周围都有锡,将其牢牢焊住,防止虚焊。

4. 在焊接圆形的极性电容器时(一般电容值都是比较大的),其电容器的引脚是分长短的,以长脚对应“+”号所在的孔。

5. 芯片在安装前最好先两边的针脚稍稍弯曲,使其有利于插入底座对应的插口中。

6. 电位器也是有方向的,其旋钮要与PCB板上凸出方向相对应。

7. 取电阻时,找到所需电阻后,拿剪刀剪下所需数目电阻,并写上电阻,以便查找。

8. 装完同一种规格后再装另一种规格,尽量使电阻器的高低一致。焊完后将露在印制电路板表面多余引脚齐根剪去。

9. 焊接集成电路时,先检查所用型号,引脚位置是否符合要求。焊接时先焊边沿对脚的二只引脚,以使其定位,然后再从左到右自上而下逐个焊接。

10. 对引脚过长的电器元件(如电容器,电阻等),焊接完后,要将其剪短。

11. 焊接后用放大镜查看焊点,检查是否有虚焊以及短路的情况的发生。

12. 当有连线接入时,要注意不要使连线深入过长,以至于将其旋在电线的橡胶皮上,出现断路的情况。

13. 当电路连接完后,最好用清洗剂对电路的表面进行清洗,以防电路板表面附着的铁屑使电路短路。

14. 在多台仪器老化的时候,要注意电线的连接,零线对零线,火线对火线。

15. 当最后组转时,应将连线扎起,以防线路混乱交叉。

16. 要进行老化工艺,可发现很多问题,连线要接紧,螺丝要旋紧,当反复插拔多次后,要注意连线接头是否有破损。

17. 焊接上锡时,锡不宜过多,当焊点焊锡锥形时,即为最好

第六章心得与体会

经过这为期两周的数字电子技术课程投计,在设计“声控灯”的过程中,我终于知道了在做这样一个课程设计时,应该从什么地方着手,应该怎样构造自己的思路,怎样把所学的理论知道应用到实践中去,在此次课程设计过程中,让我感觉到了,自己所学知识的溃泛,验证了古人所说的“书到用时方恨少”这句话,每做一步实验都要去查阅资料,去把以前所学的知识从新复习一遍。

这次实验不但锻炼了我的动手能力,也帮助我从新温习了一遍模拟电子技术和数字电子技术。以前我一直都比较重视理论知识,认为只要学懂了理论知识,就不怕动手操作,但这次课程设计之后让我完全改掉了这种错误的思想,让我认识到,我不应该做一个书呆子,要有动手能力,要靠自己的双手去实现自己的梦想,有的时候能把原理倒背如流,但是一但用到实际上,就会出错,不能应对一些紧急故障。

比如在焊接上就会出现一系列的问题,我们能把电路图看懂,但是当我们去焊接时就会出现问题,有时是焊接的不够牢固,有时是焊点过于大和别的焊点连接在一起引起短路等一系列的实际操作问题。通过这次实验,我对NE555,74LS122的功能有了新理解和认识,也第一次认识了光敏电阻和驻极体话筒,对它们有了了解和认识。在设计过程中遇到的疑难问题在老师和同学的帮助下得到了一一的解决。

我们认为,在这学期的课程设计中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找大量资料,请教老师,以及不懈的努力,不仅培养了独立思考、动手操作的

能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。

而且,这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都能变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。

与队友的合作更是一件快乐的事情,只有彼此都付出,彼此都努力维护才能将作品做的更加完美。而团队合作也是当今社会最提倡的。曾经听过,MBA之所以最近不受欢迎就是因为欠缺团队合作的精神和技巧。

第七章附页

参考文献:

书名:《数字电子技术基础》作者:阎石

出版社:高等教育出版社日期:1998年6月书名:《电子工程实践技术》作者:付家才

出版社:,化学工业出版社

书名:《模拟电子技术基础》作者:康华光

出版社:高等教育出版社

附图:

图3——1电路原理图

数电课程设计

数电课程设计

目录 一、课程设计的目的与作用...................................................... 错误!未定义书签。 二、设计任务 (2) 2.1、加法计数器 (2) 2.2、串行序列发生器 (2) 2.3、四十进制加法计数器 (2) 三、设计过程 (2) 3.1、加法计数器 (2) 3.1.1、状态转换图 (2) 3.1.2、次态卡诺图: (3) 3.1.3、Q2n+1的卡诺图 (3) 3.1.4、Q1n+1的卡诺图 (3) 3.1.5、Q0n+1的卡诺图 (4) 3.2、串行序列发生器 (4) 3.2.1、Y的卡诺图 (5) 3.2.2、Q2n+1的卡诺图 (5) 3.2.3、Q1n+1的卡诺图 (5) 3.2.4、Q0n+1的卡诺图 (6) 3.3、四十进制加法计数器 (6) 3.3.1、设计过程 (6) 四、仿真结果分析 (7) 4.1、六进制加法器仿真结果分析 (7) 4.2串行序列仿真分析 (8) 4.3、13进制计数器仿真分析 (9) 五、设计体会 (9) 六、参考文献 (10)

一、课程设计的目的与作用 数字电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养工程人员的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括课题的分析、电路设计、仿真、调试以及总结报告等实践内容。 通过课程设计主要实现以下目标:1、掌握电子电路分析和设计的基本方法。包括:根据设计任务和指标初选电路;调查研究和设计计算确定电路方案;选择元件、设计电路、仿真改进;分析实验结果、写出设计总结报告。 2、培养一定的自学能力、独立分析问题的能力和解决问题的能力。包括:学会自己分析解决问题的方;对设计中遇到的问题,能通过独立思考、查询工具书和参考文献来寻找解决方案,掌握电路测试的一般规律;能通过观察、判断、实验、再判断的基本方法解决实验中出现的一般故障;能对实验结果独立地进行分析,进而做出恰当的评价。 3、掌握普通电子电路的设计、仿真及接线等基本技能。 4、巩固常用电子仪器的正确使用方法,掌握常用电子器件的测试技能。 5、通过严格的科学训练和设计实践,逐步树立严肃认真、一丝不苟、实事求是的科学作风,并逐步建立正确的生产观、经济观和全局观。

数字电路电子时钟课程设计

数字电路电子时钟课程设计 整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。 其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时 进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。电路的信 号输入由晶振电路产生,并输入各电路 方案论证:方案一数字电子钟由信号发生器、“时、分、秒”计数器、译码 器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时 基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。 优点:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械 式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 方案二秒、分计数器为60进制计数器,小时计数器为24进制计数器。 实现这两种模数的计数器采用中规模集成计数器74LS90构成。 优点:简单易懂,比较好调试。 1 设计原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标 准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被 送到“时计数器”。“时计数器”采用24进制计数器,可以实现一天24h的累计。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通 过六位LED显示器显示出来。整点报时电路是根据计时系统的输出状态产生一

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数电实验——广告流水灯

第五次实验报告 第五次实验要求学生完成如下任务: 广告流水灯: 用时序期间、组合器件和门电路设计一个广告流水灯,该流水灯由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移, 1)写出设计过程,画出设计的逻辑电路图,按图搭接电路 2)验证实验电路的功能 3)将1秒连续脉冲信号加到系统时钟端,观察并记录时钟脉冲CP、触发器的输出端 Q2、Q1、Q0的波形 实验5.1 一、实验原理图 设ZZ0ZZ1ZZ2ZZ3ZZ4ZZ5ZZ6ZZ7分别为8个灯的输出段,由题意得卡诺图如下: 触发器输出端输出端 QQ2QQ1QQ0ZZ0ZZ1ZZ2ZZ3ZZ4ZZ5ZZ6ZZ7 0 0 0 0 1 1 1 1 1 1 1 0 0 1 1 0 1 1 1 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 0 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 通过74161对时钟脉冲进行计数输出,利用74138进行译码输出。

实验原理图: 二、实验目的 广告流水灯: 用时序期间、组合器件和门电路设计一个广告流水灯,该流水灯由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移, 1)写出设计过程,画出设计的逻辑电路图,按图搭接电路 2)验证实验电路的功能 将1秒连续脉冲信号加到系统时钟端,观察并记录时钟脉冲CP、触发器的输出端Q2、Q1、Q0的波形 三、实验器材 1.实验材料 74HC161、74HC138、面包板、发光二极管1KΩ电阻和导线 2.实验仪器 口袋实验室 四、实验步骤 1.按上图所示原理图在面包板上连接好实物图 2.连接pocketlab,引脚7接时钟,引脚0~2分别接触发器输出端QQ0QQ1QQ2,观察逻辑 分析仪波形及小灯泡的亮灭情况。

数电课程设计 数字电子钟

数字电子技术课程设计 数字电子钟 指导老师: 小组成员:

目录 摘要 (3) 第一节系统概述 (4) 第二节单元电路设计与分析 (6) 第三节电路的总体设计与调试 (11) 第四节设计总结 (13) 附录部分芯片功能参数表 (14) 参考文献 (17)

摘要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 关键词振荡器、计数器、译码显示器、Multisim

第一节系统概述 数字电子钟是由多块数字集成电路构成的,其中有振荡器,分频器,校时电路,计数器,译码器和显示器六部分组成。振荡器和分频器组成标准秒信号发生器,不同进制的计数器产生计数,译码器和显示器进行显示,通过校时电路实现对时,分的校准。 1.1实验目的 1).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 2).进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3).提高电路布局﹑布线及检查和排除故障的能力; 4).培养书写综合实验报告的能力。 1.2 主要内容 熟悉Multisim10.0仿真软件的应用;设计一个具有显示、校时、整点报时和定时功能的数字时钟,.能独立完成整个系统的设计;用Multisim10.0仿真实现数字时钟的功能。 1.3 系统设计思路与总体方案 数字时钟基本原理的逻辑框图如下所示:

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

用单片机控制的LED流水灯设计(电路、程序全部给出)

1.引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效方法就是理论与实践并重,本文笔者用AT89C51单片机自制了一款简易的流水灯,重点介绍了其软件编程方法,以期给单片机初学者以启发,更快地成为单片机领域的优秀人才。 2.硬件组成 按照单片机系统扩展与系统配置状况,单片机应用系统可分为最小系统、最小功耗系统及典型系统等。AT89C51单片机是美国ATM EL公司生产的低电压、高性能CMOS 8位单片机,具有丰富的内部资源:4kB闪存、128BRAM、32根I/O口线、2个16位定时/计数器、5个向量两级中断结构、2个全双工的串行口,具有4.25~5.50V的电压工作范围和0~24MHz 工作频率,使用AT89C51单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。其具体硬件组成如图1所示。 图1 流水灯硬件原理图 从原理图中可以看出,如果要让接在P1.0口的LED1亮起来,那么只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平;同理,接在P1.1~P1.7口的其他7个LED的点亮和熄灭的方法同LED1。因此,要

数电课程设计报告

《电子技术》课程设计报告 题目数字式电阻测试仪 学院(部) 专业 班级 学生姓名 学号 12 月19 日至12 月30 日共2 周 指导教师(签字)

数字式电阻测试仪 摘要 数字化测量仪器较模拟仪器具有使用方便,测量精确等优点。本次课程设是针对数字式电阻测试仪的设计,介绍了数字式电阻测试仪的设计方案及其基 本原理,并着重介绍了数字式电阻测试仪各单元电路的设计思路,原理及整体 电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是系统 概述,本部分概括讲解了电路的设计思想和各部分功能;二是各单元所用器件、 其性能和在电路中的功能。三是设计小结,这部分包括设计的完成情况,并提 出本系统需要改进的地方及遇到的困难。 关键词555 多谐振荡器单稳态触发器 74LS160N 74175N 技术要求 1. 被测电阻值范围100Ω~100kΩ; 2. 四位数码管显示被测电阻值; 3. 分别用红、绿色发光二极管表示单位; 4. 具有测量刻度校准功能。 第一部分系统综述 一、设计思路 数字式电阻测试仪的基本工作原理是将待测的数字信号转化为模拟信号,在通过计数、译码,由数码管直接将阻值显示出来。本设计是通过555芯片与74LS160芯片共同协作来完成的。接通电源后多谐振荡器开始工作,此时给555单稳态触发器一个负脉冲,使其工作,产生的脉冲宽度为Tw,两输出端相与后接74LS160计数器,记录的就是Tw宽度内多谐产生的高电平个数。因待测电阻R与单稳态的脉冲宽度Tw呈线性关系,给定参数后,高电平数即为待测电阻值。最后通过译码显示,显示出最终的结果。 二、方案论证与选择 为了用数字的办法测量电阻,首先需要将被测电阻值以某种方式输入AD转换器。根据测量原理的不同,其输入方法有很多,如直接法、电桥法和充放电法。各种办法都有相应的优缺点,例如充放电法及直接法均需求得被测样两端的电压与通过被测样的电流,利用

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

流水灯电路

流水灯电路的制作与测试 【知识目标】 ●理解时序逻辑电路的基本概念及分类。 ●掌握同步和异步时序逻辑电路的分析方法。 ●理解计数器的逻辑功能及原理。 ●掌握寄存器电路的基本工作原理,理解移位寄存器的逻辑功能。 【技能目标】 ●能用触发器制作与调试各种同步计数器。 ●能用集成计数器制作任意进制的计数器。 ●熟悉集成移位寄存器逻辑功能和各控制端的作用,能构成实用电路。 ●多种方法实现流水灯电路,且进一步完善流水灯功能。 任务一用移位寄存器构成流水灯电路 一、分析任务 在一些数字系统中,有时需要系统按照事先规定的顺序进行一系列的操作。这就要求系统的控制部分能给出一组在时间上有一定先后顺序的脉冲,再用这组脉冲形成所需要的各种控制信号。 二、相关知识 在数字系统中,常常需要将一些数码、运算结果和指令等暂时存放起来,然后在需要的时候再取出来进行处理或运算。这种能够用于存储少量二进制代码或数据的时序逻辑电路,称为寄存器。 寄存器用于暂时存放二进制代码,它是数字系统中重要的部件之一。寄存器的主要组成部分是具有记忆功能的双稳态触发器。一个触发器可以存储一位二进制代码,所以要存放n位二进制代码,就需要n个触发器。 按照功能的不同,可将寄存器分为数码寄存器和移位寄存器两大类。 1. 数码寄存器 数码寄存器具有寄存数据和清除原有数据的功能。现以集成四位数据寄存器74LSl75来说明数据寄存器的电路结构和功能。74LSl75是用D触发器组成的四

位数据寄存器。它的逻辑图和管脚排列图如图7-11所示。 (a)逻辑图 (b)管脚排列图 图7-11 四位集成数码寄存器74LS175 74LS175的功能表见表7-11,CP 是时钟端,CR 是异步清零端,D 0~D 3是数据输入端,Q 0~Q 3是数据输出端。其功能如下。 表7-11 74LS175的功能表 ①异步清零。只要CR =0,就可使输出端清零,而与时钟无关。清零后,将 CR 接高电平,数据才能正常存人。 ②并行输入/输出。在CR =1的前提下,(将需要存人的四位二进制数据送到数据输入端D 0~D 3),在CP 脉冲上升沿的作用下,将D 0~D 3的数据并行存入Q 0~Q 3,同时也可取出存人的数码的反码。 ③记忆保持。当只CR =1且CP =0时,各触发器保持原状态不变,数据寄存器处于保持状态。 无论寄存器中原来的内容是什么,只要送数控制时钟脉冲CP 上升沿到来,加在并行数据输入端的数据D 0~D 3将立即被送入寄存器中,有 32103210Q Q Q Q D D D D

数电课程设计基于Multisim的乒乓球游戏机控制电路设计

课程设计(论文) 课程名称:数字电子技术基础 题目:基于Multisim的乒乓球游戏机控制电路设计院(系): 专业班级: 姓名: 学号: 指导教师:

任务书 设计题目:基于Multisim乒乓球游戏机的控制设计电路 课题目的: 该乒乓球游戏机电路主要由3块组成:球台驱动电路,控制电路和计分电路组成。其中球台电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;计分电路具有当A或B击球有效时加分和当游戏者的分数累计超过10时报警通知裁判对系统初始化以便重新开始比赛计分功能。 课题主要内容与要求: 内容:本课题设计一个以8个二极管的依次被点亮代表球的移动位置双向选择开关J2,J3控制发球,击球信号,在Multisim软件上测试结果。 要求:1、熟悉Multisim软件 2、用8个发光二极管表示球,用俩个按钮分别表示AB俩个球员的球拍; 3、A,B各有一个数码管计分。 4、裁判有一个按钮,用来对系统初始化,每次得分后按下一次。

摘要 乒乓球游戏机通过十分巧妙地设计采用数字芯片实现乒乓球左右移动,选手击球得分,累计得分超10报警灯功能。该设计三个双向开关J1,J2,J3分别作为裁判和游戏者A,B,且选手可以译码显示器上直接读出自己的得分,具有操作简单,结构清晰的优点。 对与模电课题的研究离不开电路图,不过现在都在实行电子化,所以需要借助电子产品。Multisim软件就是一款画电路图的电子软件,在此对不太熟悉或未接触过Multisim软件的朋友简短的介绍下: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。同时具备可以根据自己的需求制造出真正属于自己的仪器;所有的虚拟信号都可以通过计算机输出到实际的硬件电路上;所有硬件电路产生的结果都可以输回到计算机中进行处理和分析等特点。该乒乓球游戏机电路主要有3块电路:台球驱动电路,控制电路和计分电路组成。其中台球驱动电路主要实现游戏者击球完毕后球的左右移动显示位置功能;控制电路实现游戏者A和B击球,裁判对系统初始化的功能;

数电设计数字钟基于QUARTUS

大连理工大学本科实验报告 题目:数电课设——多功能数字钟 课程名称:数字电路课程设计 学院(系):电信学部 专业:电子与通信工程 班级: 学生姓名: *************** 学号:*************** 完成日期: 成绩: 2010 年 12 月 17 日 题目:多功能数字时钟 一.设计要求 1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23) 2)具有手动校时校分功能 3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次 4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零 5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟 6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键清零 二.设计分析及系统方案设计 1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码管显示。

从59分50秒开始,数字钟进入整点报时功能。每隔两秒提示一次。(本设计中以两个LED灯代替蜂鸣器,进行报时) 2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。 3.多功能数字钟的闹钟功能部分,通过按键(KEY1,KEY2,KEY3)设定闹钟时间,当时钟进入闹钟设定的时间(判断时钟的时信号qq6,qq5与分信号qq4,qq3分别与闹钟设定的时信号r6,r5与分信号r4,43是否相等),则以LED灯连续提示一分钟。 4. 多功能数字钟的倒计时功能部分,可通过按键(LOAD7调秒,LOAD8调分,LOAD9调时)设定倒计时开始时刻。倒计时的时钟与数字钟的时钟相同,每迎到一个时钟上升沿,则计数器减一。计数器减至00时,分钟位、秒钟位恢复至59,时钟位恢复至23。倒计时结束时(即00时00分00秒),控制LED灯亮,表示倒计时结束。 本设计通过数据选择器控制译码器,使数码管独立显示,各功能之间互不影响。当LOAD4为高电平,则对秒表信号进行译码,数码管显示秒表数据;当LOAD4为低电平,LOAD5为高电平,则对闹钟信号进行译码,数码管显示闹钟数据;当LOAD4,LOAD5为低电平,LOAD6为高电平,则对倒计时信号进行译码,数码管显示倒计时信号数据;当LOAD4,LOAD5,LOAD6全为低电平,则对正常时钟信号进行译码,数码管显示时钟信号数据。 附图1:系统总体结构框图

数字电路流水灯设计

数字电路流水灯设计 一:方案论证与比较 1:工作时钟源设计 (1)采用555定时器接成的多谐振荡器。 555定时器是多用途的数字—模拟混合集成电路,利用它能极方便的构成施密特触发器,单稳态触发器和多谐振荡器,使用灵活,方便。555定时器在波形产生和交换,测量与控制中应用广泛成熟准确。 (2)采用三极管多谐振荡器 三极管多谐振荡器是一种矩形脉冲产生电路,这种电路不需外加触发信号,便能产生一定频率和一定宽度的矩形脉冲,常用作脉冲信号源。由于矩形波中含有丰富的多次谐波,故称为多谐振荡器。多谐振荡器工作时,电路的输出在高、低电平间不停地翻转,没有稳定的状态,所以又称为无稳态触发器。 (3)方案比较 555定时器接成的多谐振荡器产生的时钟信号驱动能力较强,555通过改变R和C的参数就可以改变振荡频率,电路参数容易确定,使用简单,信号稳定,调试方便,而三极管多谐振荡器,不易调试,输出信号驱动能力不强且信号不够稳定,故选用555定时器接成的多谐振荡器作为系统的时钟源。

2流水灯驱动电路设计 本次项目中使用1片4位同步二进制计数器74LS161,其Q0,Q1,Q2脚输出三位二进制顺序脉冲000-001-010-011-100-101-110-111,时钟源为555定时器的输出方波。 与Q0,Q1,Q2相连接的是一片38译码器74LS138的A0,A1,A2引脚,Y0—Y7依次输出负脉冲。其是引脚输入脉冲为时钟源为555定时器的输出方波经一片74LS14反相器反相后的时钟脉冲,其74LS138真值表如下: 8个LED以共阳接法分别接于Y0—Y7,依次点亮,其亮灭频率由555定时器产生的时钟频率为准。 二参数计算 555定时器外接电阻计算

数电课程设计报告

数电课程设计报告 电子技术课程设计 题目名称: 音乐彩灯控制器 1.设计任务和要求 (1) 任务设计一种组合式彩灯控制电路,该电路由三路不同控制方法的彩灯所组成,采用不同颜色的发光二极管作设计实验。 (2) 要求① 第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 ② 第二路按音量的强弱(信号幅度大小)控制彩灯。强音时,灯的亮度加大,且灯被点亮的数目增多。 ③ 第三路按音调高低(信号频率高低)控制彩灯。低音时,某一部分灯点亮;高音时,另一部分灯点亮。 摘要随着现代社会经济的飞速发展和夜市的兴起,各种彩灯装饰层出不穷,给城市的夜间带来绚丽的色彩。夜间漫步于城市的每一个角落,触目可及变幻莫测、摇曳生姿的各式彩灯,无一不诱惑着人们好奇的双眼。门面店铺灯光装潢大都采用时明时暗或部分循环点亮的流水模式,有新意的要属那些旋转上升变化的广告装饰灯。在公园里有树状的彩灯,它从底部开始亮起,然后快速沿枝干向上窜升,到达顶端后向各处散开,远远望去犹

如仙女散花,煞是好看。有音乐的娱乐场所,比如说舞厅,酒吧间和咖啡厅的彩灯会随着悠扬的音乐闪烁生辉,这些场所的灯光一般比较幽暗,更加显得彩灯扑朔迷离、捉摸不定,一如可望而不可即的魑魅。而气势磅礴、规模宏大的当然是大型的节日彩灯,把许多组彩灯进行不同的组合,便得到花样众多的主题字型或代表喜庆吉祥的图案。这些彩灯不仅增添了节日的气氛,而且丰富了人们多姿多彩的生活。 本文介绍的彩灯控制器是一种组合式彩灯控制电路。声控彩灯是音乐声响与彩灯灯光的相互组合,使音乐的旋律伴以亮度、颜色和图案不断变换的灯光,使人的视觉和听觉结合在一起获得综合的艺术享受。本设计伴随音乐的节奏、大小、音调而变化的彩灯控制器。使彩灯在艺术上有了很大的提高,本文的主要内容有以下几点:设计音乐信号放大电路;设计滤波电路,实现音乐的音调控制彩灯;555基本电路构成单稳态实现音乐大小控制彩灯;555基本电路构成多谐电路实现音乐节奏控制彩灯。 其次本文还详细阐述了音乐彩灯控制器的结构和功能,并对各组成部分的工作原理进行了比较详细的分析,而且对各组成部分的附加元的参数设定也做了较为严密的计算。 关键字:音乐的强弱节奏音调彩灯控制器整流滤波高低通滤波 555基本电路一.方案设计 (1) 设计思路根据课题要求,本控制器可分别用三部分电路实现。

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

数电课程设计__

数电实验报告 系别:电子信息工程 班级: 姓名:

课程设计(论文)题目: 交通灯的设计、制作 一、课程设计(论文)要求及原始数据(资料): 1)每个方向有两对灯,分别为红、绿。 2)每个方向的绿灯、红灯的定时时间可以预设,一个方向绿灯亮时另一个方向红灯亮。定时时间用数码管显示,红绿灯指示用发光二极管。 3)绿灯、红灯顺序点亮,循环往复。 4)控制器要自带时钟,为了时钟精度和得到占空比为50%的标准1 Hz时钟,最后的时钟通过分频得到。时钟脉冲源利用555电路产生。计数器使用CD4511,74168,74390。 二、主要参考文献(资料): [1] 董儒胥,《电工电子实训》,北京:高等教育出版社,2002年 [2] 谢克明,《电子电路EDA》,北京:兵器工业出版社,2001年 [3] 阎石,《数字电子技术基础》北京:高等教育出版社,2006年 [4] 陈明义主编《电子技术课程设计指导》中南大学出版社,2002年

一、设计题目及内容 交通信号灯控制器 (1)、用红、绿、黄三色发光二极管作信号灯。 (2)、南北、东西方向绿、黄、红灯亮时间分别为20秒、4秒、24秒,一次循环为48秒。黄灯是间歇闪耀。设计计时显示电路(减“1”计数) 。 (3)、可以手动调整和自动控制,夜间为黄灯闪耀。 二、器件与器材 1、二输入四与非门74LS00 2、四输入双与非门74LS20 3、六倒相器74LS04 4、八输入与非门74LS30 5、正沿双D触发器74LS74 6、同步十进制可逆加、减计数器(8421 BCD码) 74LS168 7、振荡分频器CD4060 8、BCD七段显示译码器CD 4511 9、555定时器555 10、LED共阴七段数码管BS207 11、微动开关、拨盘开关、继电器、LED(红、绿、蓝)、电阻、电容、二极管、三极管、光敏二、 三极管、导线……等。 12、工具(镊子、剪刀、万用表、电烙铁……) 三、使用仪器设备 1、稳压电源(±5V,±15V); 四、参考文献 1、“模拟电子技术基础”和“数字电子技术基础”教材; 2、有关“电子技术课程设计指导书”; 3、“集成电路特性应用手册”; 2、EDA技术使用教程 3、其他。 五、设计总结报告主要内容 1、任务及要求; 2、方案特点; 3、各组成部分及工作原理(应结合框图写); 4、单元电路设计与调试;

相关文档
最新文档