华为标准

华为标准
华为标准

FPGA设计流程指南

前言

本部门所承担的FPGA设计任务主要是两方面的作用:系统的原型实现和ASIC的原型验证。编写本流程的目的是:

z在于规范整个设计流程,实现开发的合理性、一致性、高效性。

z形成风格良好和完整的文档。

z实现在FPGA不同厂家之间以及从FPGA到ASIC的顺利移植。

z便于新员工快速掌握本部门FPGA的设计流程。

由于目前所用到的FPGA器件以Altera的为主,所以下面的例子也以Altera为例,工具组合为modelsim + LeonardoSpectrum/FPGACompilerII + Quartus,但原则和方法对于其他厂家和工具也是基本适用的。

目录

1. 基于HDL的FPGA设计流程概述 (1)

1.1 设计流程图 (1)

1.2 关键步骤的实现 (2)

1.2.1 功能仿真 (2)

1.2.2 逻辑综合 (2)

1.2.3 前仿真 (3)

1.2.4 布局布线 (3)

1.2.5 后仿真(时序仿真) (4)

2. Verilog HDL设计 (4)

2.1 编程风格(Coding Style)要求 (4)

2.1.1 文件 (4)

2.1.2 大小写 (5)

2.1.3 标识符 (5)

2.1.4 参数化设计 (5)

2.1.5 空行和空格 (5)

2.1.6 对齐和缩进 (5)

2.1.7 注释 (5)

2.1.8 参考C语言的资料 (5)

2.1.9 可视化设计方法 (6)

2.2 可综合设计 (6)

2.3 设计目录 (6)

3. 逻辑仿真 (6)

3.1 测试程序(test bench) (7)

3.2 使用预编译库 (7)

4. 逻辑综合 (8)

4.1 逻辑综合的一些原则 (8)

4.1.1 关于LeonardoSpectrum (8)

4.1.1 大规模设计的综合 (8)

4.1.3 必须重视工具产生的警告信息 (8)

4.2 调用模块的黑盒子(Black box)方法 (8)

参考 (10)

修订纪录 (10)

1. 基于HDL的FPGA设计流程概述

1.1 设计流程图

说明:

z逻辑仿真器主要指modelsim,Verilog-XL等。

z逻辑综合器主要指LeonardoSpectrum、Synplify、FPGA Express/FPGA Compiler等。

z FPGA厂家工具指的是如Altera的Max+PlusII、QuartusII,Xilinx的Foundation、Alliance、ISE4.1等。

1.2 关键步骤的实现

1.2.1 功能仿真

说明:

“调用模块的行为仿真模型”指的是RTL代码中引用的由厂家提供的宏模块/IP,如Altera 提供的LPM库中的乘法器、存储器等部件的行为模型。

1.2.2 逻辑综合

说明:

“调用模块的黑盒子接口”的导入,是由于RTL代码调用了一些外部模块,而这些外部模块不能被综合或无需综合,但逻辑综合器需要其接口的定义来检查逻辑并保留这些模块的接口。

1.2.3 前仿真

说明:

一般来说,对FPGA设计这一步可以跳过不做,但可用于debug综合有无问题。

1.2.4 布局布线

1.2.5 后仿真(时序仿真)

2. Verilog HDL设计

基于将来设计转向ASIC的方便,本部门的设计统一采用Verilog HDL,但针对混合设计和混合仿真的趋势,所有开发人员也应能读懂VHDL。

Verilog HDL的学习可参考[1][2]。

2.1 编程风格(Coding Style)要求

2.1.1 文件

(1) 每个模块(module)一般应存在于单独的源文件中,通常源文件名与所包含模块名相同。

(2) 每个设计文件开头应包含如下注释内容:

z年份及公司名称。

z作者。

z文件名。

z所属项目。

z顶层模块。

z模块名称及其描述。

z修改纪录。

请参考标准示例程序[3]。

2.1.2 大小写

(1) 如无特别需要,模块名和信号名一律采用小写字母。

(2) 为醒目起见,常数(`define定义)/参数(parameter定义)采用大写字母。

2.1.3 标识符

(1) 标识符采用传统C语言的命名方法,即在单词之间以“_”分开,如:max_delay、data_size等等。

(2) 采用有意义的、能反映对象特征、作用和性质的单词命名标识符,以增强程序的可读性。

(3) 为避免标识符过于冗长,对较长单词的应当采用适当的缩写形式,如用‘buff’代替‘buffer’,‘ena’代替‘enable’,‘addr’代替‘address’等。

2.1.4 参数化设计

为了源代码的可读性和可移植性起见,不要在程序中直接写特定数值,尽可能采用`define语句或paramater语句定义常数或参数。

2.1.5 空行和空格

(1) 适当地在代码的不同部分中插入空行,避免因程序拥挤不利阅读。

(2) 在表达式中插入空格,避免代码拥挤,包括:

赋值符号两边要有空格;

双目运算符两边要有空格;

单目运算符和操作数之间可没有空格,

示例如下:

a <= b;

c <= a + b;

if (a == b) then ...

a <= ~a & c;

2.1.6 对齐和缩进

(1) 不要使用连续的空格来进行语句的对齐。

(2) 采用制表符Tab对语句对齐和缩进,Tab键采用4个字符宽度,可在编辑器中设置。

(3) 各种嵌套语句尤其是if...else语句,必须严格的逐层缩进对齐。

2.1.7 注释

必须加入详细、清晰的注释行以增强代码的可读性和可移植性,注释内容占代码篇幅不应少于30%。

2.1.8 参考C语言的资料

要形成良好的编程风格,有许多细节需要注意,可以参考资料[4],虽然它是针对C语言的讨论,但由于Verilog HDL和C语言的形式非常近似,所以里面提到的很多原则都是可以借鉴的。

2.1.9 可视化设计方法

为提高设计效率和适应协同设计的方式,可采用可视化的设计方法,Mentor Grahpics 的Renoir 软件提供了非常好的设计模式。

2.2 可综合设计

用HDL 实现电路,设计人员对可综合风格的RTL 描述的掌握不仅会影响到仿真和综合的一致性,也是逻辑综合后电路可靠性和质量好坏最主要的因素,对此应当予以充分的重视。 学习可综合的HDL 请参考 [5][6] [7]。 学习设计的模块划分请参考[8]。

2.3 设计目录

采用合理、条理清晰的设计目录结构有助于提高设计的效率、可维护性。建议采用类似下面的目录结构: (1)

(2)

3. 逻辑仿真

考虑到性能和易用性,首选的逻辑仿真器是Mentor Graphics 的modelsim 。

design

src (源代码) syn (综合)sim (仿真)par (布局布线) design ver1 ver2 (源代码)syn (综合) (仿真)(布局布线)src (源代码)syn (综合) sim (仿真) par (布局布线)

3.1 测试程序(test bench)

测试程序对于设计功能和时序的验证有着举足轻重的影响,测试激励的完备性和真实性是关键所在,有以下原则须遵循:

(1) 测试激励输入和响应输出采集的时序应当兼顾功能仿真(无延时)和时序仿真(有延时)的情况。

(2) 对于周期较多的测试,为提高效率,尽可能采用程序语句来判断响应与标准结果是否一致,给出成功或出错标志,而不是通过观察波形来判断。

(3) 采用基于文件的测试是很好的办法,即由matlab或spw等系统工具产生测试数据,测试程序将其读入产生激励,再把响应结果写入到文件,再交给上述工具

进行处理或分析。

(4) 仿真器支持几乎所有的Verilog HDL语法,而不仅仅是常用的RTL的描述,应当利用这一点使测试程序尽可能简洁、清楚,篇幅长的要尽量采用task来描述。

3.2 使用预编译库

在进行功能仿真和后仿真时都需要某些模块的行为仿真模型和门级仿真模型,如Altera Quartus里的220model.v(LPM模块行为仿真模型)和apex20ke_atoms.v(20KE系列门级仿真模型),为避免在不同的设计目录中多次编译这些模型,应当采用一次编译,多次使用的方法。具体做法如下(以20KE门级库为例):

1:在某个工作目录下新建一库名 apex20ke,将apex20ke_atoms.v编译到其中。

2:在图形界面中的Load Design对话框中装入仿真设计时,在Verilog 标签下指定预编译库的完整路径。(见下图)

4. 逻辑综合

目前可用的FPGA综合工具有Mentor Graphics 的 LeonardoSpectrum,Synplicity的Synplify和Synopsys 的FPGA CompilerII/FPGA Express,LeonardoSpectrum由于性能和速度最好,成为我们首选的综合器,FPGA CompilerII/FPGA Express由于可以和Design Compiler 代码兼容也可用。见参考[9]

4.1 逻辑综合的一些原则

HDL代码综合后电路质量的好坏主要取决于三个方面:RTL实现是否合理、对厂家器件特点的理解和对综合器掌握的程度。参考[10]中有比较全面的讨论。

4.1.1 关于LeonardoSpectrum

LeonardoSpectrum对综合的控制能力比较强,但使用也略为复杂,故需要在使用前尽量熟悉其功能,才能取得较好的综合结果。

当出现综合结果不能满足约束条件时,不要急于修改设计源文件,应当通过综合器提供的时序和面积分析命令找出关键所在,然后更改综合控制或修改代码。

在LeonardoSpectrum 2000.1b以前的版本输出的 .v 网表都不能用于仿真。

4.1.1 大规模设计的综合

z分块综合

当设计规模很大时,综合也会耗费很多时间。如果设计只更改某个模块时,可以分块综合。如有设计 top.v 包含 a.v和b.v两个模块,当只修改a.v的话,可以先单独综合b.v,输出其网表b.edf,编写一个b模块的黑盒子接口b_syn.v,每次修改a.v后只综合top.v、a.v、b_syn.v,将综合后的网表和b.edf送去布线,可以节约综合b模块的时间。

z采用脚本命令

当设计规模比较大时,综合控制也许会比较复杂,可以考虑采用脚本控制文件的方式进行综合控制,modelsim、LeonardoSpectrum和Quartus都支持TCL(Tool Command Language)语言,采用脚本控制可以提供比图形界面更灵活和更方便的控制手段。

4.1.3 必须重视工具产生的警告信息

综合工具对设计进行处理可能会产生各种警告信息,有些是可以忽略的,但设计者应该尽量去除,不去除必须确认每条警告的含义,避免因此使设计的实现产生隐患。

这个原则对仿真和布局布线同样适用。

4.2 调用模块的黑盒子(Black box)方法

使用黑盒子方法的原因主要有两点:

一是HDL代码中调用了一些FPGA厂家提供的模块(如Altera的LPM模块)或第三方提供的IP,这些模块不需要综合,而且有些综合器也不能综合(如FPGA CompilerII/FPGA Express可以综合包含LPM的代码而LeonardoSpectrum不能)。因此须提供一个黑盒子接口给综合器,所调用的模块到布局布线时才进行连接。

二是方便代码的移植,由于厂家提供的模块或第三方提供的IP通常都是与工艺有关的,直接在代码中调用的话将不利于修改,影响代码移植。

下面以调用Altera的LPM库中的乘法器为例来说明。调用这样一个模块需要这样一个文件:mult8x8.v(可由Quartus的MegaWizer Plug-in Manager产生),代码如下:

// mult8x8.v

module mult8x8 (dataa, datab, result);

input [7:0] dataa;

input [7:0] datab;

output [15:0] result;

// exemplar translate_off

// synopsys translate_off

lpm_mult lpm_mult_component(

(dataa),

.dataa

(datab),

.datab

.aclr (1'b0),

.clock (1'b0),

.clken (1'b0),

.sum (1'b0),

.result (result)

);

defparam

lpm_mult_component.lpm_widtha = 8,

lpm_mult_component.lpm_widthb = 8,

lpm_mult_component.lpm_widths = 16,

lpm_mult_component.lpm_widthp = 16,

"SIGNED",

lpm_mult_component.lpm_representation =

// exemplar translate_on

// synopsys translate_on

endmodule

注意上述的代码有两对编译指示:

// exemplar translate_off 和// exemplar translate_on (LeonardoSpectrum支持)// synopsys translate_off 和 // synopsys translate_on(LeonardoSpectrum和FPGA CompilerII都支持)

对于相应的综合器,在这些编译指示中间的语句将会被忽略,那我们可以看到在综合过程中模块mult8x8实际变成了一个只有I/O定义的空盒子(即black box),所以该部分的代码没有连接,在Quartus布局布线的时候,lpm模块的代码才连接到整个设计,在仿真的时候,编译指示不影响模块的完整性。

参考

[1]:台湾清华Verilog HDL教程

[2]:Verilog HDL硬件描述语言

[3]:文件头注释块示例

[4]:C语言的风格

[5]:Verilog HDL Reference manual

[6]:Actel HDL coding style guide

[7]:LeonardoSpectrum HDL Synthesis

[8]:ASIC Design Partitioning

[9]:三种FPGA综合工具的比较

[10]: FPGA Synthesis Training Course

修订纪录

V2.0 (何辉,2001-8-1)

修改了4.2节(黑盒子方法)的描述

V1.0 (何辉,2001-3)

第一个版本

华为技术有限公司企业技术规范

DKBA 华为技术有限公司企业技术规范 DKBA4031-2009.06 钣金结构件可加工性设计规范 2009-06-30发布2009-07-XX实施 华为技术有限公司发布

目次 前言 (5) 1范围和简介 (6) 1.1范围 (6) 1.2简介 (6) 1.3关键词 (6) 2规范性引用文件 (6) 3冲裁 (6) 3.1冲裁件的形状和尺寸尽可能简单对称,使排样时废料最少。 . 6 3.2冲裁件的外形及内孔应避免尖角。 (6) 3.3冲裁件应避免窄长的悬臂与狭槽 (7) 3.4冲孔优先选用圆形孔,冲孔有最小尺寸要求 (7) 3.5冲裁的孔间距与孔边距 (7) 3.6折弯件及拉深件冲孔时,其孔壁与直壁之间应保持一定的距离8 3.7螺钉、螺栓的过孔和沉头座 (8) 3.8冲裁件毛刺的极限值及设计标注 (9) 3.8.1冲裁件毛刺的极限值 (9) 3.8.2设计图纸中毛刺的标注要求 (9) 4折弯 (10) 4.1折弯件的最小弯曲半径 (10) 4.2弯曲件的直边高度 (10) 4.2.1一般情况下的最小直边高度要求 (10)

4.2.2特殊要求的直边高度 (11) 4.2.3弯边侧边带有斜角的直边高度 (11) 4.3折弯件上的孔边距 (11) 4.4局部弯曲的工艺切口 (12) 4.4.1折弯件的弯曲线应避开尺寸突变的位置 (12) 4.4.2当孔位于折弯变形区内,所采取的切口形式 (12) 4.5带斜边的折弯边应避开变形区 (13) 4.6打死边的设计要求 (13) 4.7设计时添加的工艺定位孔 (13) 4.8标注弯曲件相关尺寸时,要考虑工艺性 (14) 4.9弯曲件的回弹 (14) 4.9.1折弯件的内圆角半径与板厚之比越大,回弹就越大。.. 14 4.9.2从设计上抑制回弹的方法示例 (14) 5拉伸 (15) 5.1拉伸件底部与直壁之间的圆角半径大小要求 (15) 5.2拉伸件凸缘与壁之间的圆角半径 (15) 5.3圆形拉伸件的内腔直径 (15) 5.4矩形拉伸件相邻两壁间的圆角半径 (15) 5.5圆形无凸缘拉伸件一次成形时,其高度与直径的尺寸关系要求 16 5.6拉伸件设计图纸上尺寸标注的注意事项 (16) 5.6.1拉伸件产品尺寸的标准方法 (16)

华为QA类技术任职资格标准

QA类技术任职资格标准 版本号:2.0 拟制单位:技术管理处/技术干部部

目录 概述 .....................................3页 第一部分级别定义.................................5页 第二部分资格标准.......................................7页

概述 任职资格管理的目的 ?规范人才的培养和选拔,推动做实的人不断提高水平,引导有水平的人做实,按做实给予评价。 ?激励员工不断提高其职位胜任能力,以职业化的员工队伍参与国际竞争。?树立有效培训和自我学习的标杆,以资格标准牵引员工不断学习、不断改进,保持公司的持续性发展。 任职资格认证原则 ?以关键行为和核心技能为中心 ?以工作实绩为导向 ?标准公开、程序公正 ?测试、评议相结合 任职资格标准体系 ?QA类任职资格标准由工作经验、必备知识、技能标准、工作绩效、行为标准等五个部分组成。

QA类任职资格认证对象 从事QA类工作的人员

第一部分级别定义 根据QA类的实际情况,将技术任职资格等级分为三至六级,如下图所示。 级别定义描述了各级人员的工作定义、工作内容、工作性质、主要职责及影响范围。 级别代码:T0901(03) 级别名称:QA类三级工程师 要点:熟悉公司开发流程,熟悉产品开发过程,了解项目管理过程,有一定模块开发/测试实践经验。独立进行开发流程、开发方法的引导,进行基线审计和交付物审计,了解质量原理,了解统计过程控制,对质量目标把关。 级别代码:T0901(04)

级别名称:QA类四级工程师 要点:熟悉公司开发流程,熟悉产品开发过程,掌握项目管理过程,有复杂模块开发/测试实践经验,有较多的产品/软件工程经验。有开发流程、开发方法的引导的成功经验,进行基线审计和交付物审计,参与公司内部审计。熟悉质量原理,熟悉统计过程控制,对产品质量目标把关,对项目成功起到重要作用。具有良好的沟通能力。可指导三级工程师。 级别代码:T0901(05) 级别名称:QA类五级工程师 要点:公司内本领域带头人。非常熟悉公司开发流程,深入领会产品开发过程,精通项目管理过程,深入领会质量管理系统,有系统设计/测试实践经验。有深入的过程改进经验,有组织制定、推行业务部的过程改进活动的成功经验;组织参与开发过程定义、开发规范制定,有深入的内部审计经验。有良好的沟通能力,可指导四级及以下级别工程师。 级别代码:T0901(06) 级别名称:QA类六级工程师 要点:在公司本领域内被认为是权威。根据公司总体发展战略,制定产品/软件过程改进发展战略,确保方向的正确性和可持续发展性;精通产品/软件工程和开发过程、项目管理过程、质量管理体系,有系统设计/测试实践经验。有较多过程改进经验,有组织制定、推行公司的过程改进活动的成功经验;组织公司的开发过程定义、开发规范制定。具有深入的内部审计经验,有良好的沟通能力。可指导五级及以下级别工程师。

华为规范

一、规范五大高压线 高压线一、所有操作必经技术授权及客户授权、在行业默许时间内操作:涉及现网的任何操作均需要通过技术授权并向用户提交书面申请,得到客户的签字确认后才能执行;涉及现网的任何危险操作绝对禁止在白天(非行业默许时间)进行,如用户强制要求,须经用户维护主管签字确认,经办事处产品区域RPM、项目经理/维护项目经理、客户支持经理、系统部ASD同意,并得到维护leader技术授权通过后方可进行。 高压线二、重大操作必按提方案,审核通过方可执行:涉及现网的所有升级/割接/整改必须按照《XX变更方案模板》制定详细的操作方案,且方案审核通过后才能执行;操作前必须进行数据备份,完成后必须进行业务、计费测试和记录,测试结果必须用户签字确认。 高压线三、重大事故及时通报,问题处理及时汇报:工程师获知客户重大事故时,应即时汇报(5分钟内)通报给2个人:产品维护leader、维护项目经理。合作方员工在遇到重大事故时,5分钟内通报办事处产品技术负责人、项目经理,产品技术负责人、项目经理5分钟内分别通报产品维护leader、维护项目经理、工程经理。问题处理完毕后在1个工作日内向用户维护主管进行汇报(重要的需要书面汇报),汇报问题解决情况或者下一步措施; 高压线四、报告提交客户前必须经过办事处审核:所有向用户提供的书面报告(尤其是产品故障说明报告),均需要经过产品维护leader、维护项目经理、区域RPM、系统部ASD审核,严禁私自向用户提供报告。 高压线五、杜绝一切退单和投诉,坚决保证客户满意度:熟记和理解工程满意度、问题单满意度回访要求,杜绝一切形式的低分问题单(工程)或退单;日常注意和用户沟通的方式,从心底里尊重用户,杜绝一切形式的投诉和低分单。 二、办事处重大事故通报流程

2020(技术规范标准)华为工艺技术任职资格标准]

深圳市华为技术有限公司 工艺技术任职资格标准 第一版

中试部拟制二零零零年四月

概述.......................................................................3页 第一部分级别角色定义..............................................6页 第二部分资格标准.................................................10页 第三部分测评定级表...................................................33页第四部分工艺类一级工程师行为认证表..........................48页第五部分工艺类二级工程师行为认证表..........................63页第六部分工艺类三级工程师行为认证表........................83页. 第七部分工艺类四级工程师行为认证表.........................108页第八部分工艺技术类任职认证操作指南.......................130页第九部分附件..............................................................136页

专业任职资格的目的 面对工艺队伍的壮大及要求的不断提高,中试部工艺试验中心亟待需要一套资格标准。 ?考察各级工艺技术人员的职位胜任能力。 ?通过标准的牵引,促使工艺技术人员不断进步。 对象 ?中试部工艺试验中心 直接从事工艺技术工作的技术人员 任职资格的定位 ?资格不仅仅是能力 任职资格考察的不仅仅只包括能力,有没有资格担任一个岗位,实际上需要考察一个人的综合表现,它是素质、能力、业绩、知识、经验的有机融合。 ?考察员工的职位胜任能力 因为任职资格是综合表现,它的作用不是仅仅工资、奖金等可以体现的,它将对影响所有人事待遇的职位产生主要作用。 任职资格的工作重心 ?对绩效考核部门没有涉及的能力、素质、知识、经验等建立标准 ?对于绩效考核部门已经解决的绩效考核标准及已产生的考核结果,任职资格主要是加以利用。

华为技术有限公司企业技术规范.

DKBA华为技术有限公司企业技术规范 DKBA4031-2009.06钣金结构件可加工性设计规范 2009-06-30发布 2009-07-XX 实施 华为技术有限公司发布 目次 前言................................... 5范围和简 介................................ 6 1.范围............................ 6 1.2简 介 (6) 1.3关键词 (6) 2规范性引用文件.............................. 6 3中裁.................................. 6 3.中中裁件的形状和尺寸尽可能简单对称使排样时废料最少。.6 3.2冲裁件的外形及内孔应避免尖角。 ........... 6 3.3 冲裁件应避免窄长的悬臂与狭槽 7 3.4冲孔优先选用圆形孔冲孔有 最小尺寸要求........ 7 3.5冲裁的孔间距与孔边距................... 8 3.6折弯件及拉深件冲孔时,其孔壁与直壁之间应保持一定的距离8 3.7螺钉、螺栓的过孔和沉头座............... 8 38中裁件毛刺的极限值及设计标注 (9) 3.8.1冲裁件毛刺的极限值 (9) 3.8.2设计图纸中毛刺的标注要求 (9) 4折弯.................................. 10 4.折弯件的最小弯曲半径................. 10 4.2弯曲件的直边高度................... 10 4.2.一般情况下的最小直边高度要求. (10)

华为技术有限公司内部技术规范--金属材料质量要求

DKBA 华为技术有限公司内部技术规范 DKBA0.400.0114 REV.1.0 金属材料质量要求Requirement for the metal material

修订声明Revision declaration 本规范拟制与解释部门:整机工程部结构造型设计部 本规范的相关系列规范或文件:无 相关国际规范或文件一致性:无 替代或作废的其它规范或文件:无 相关规范或文件的相互关系:无

目录Table of Contents 1规定的材料8 1.1材料牌号及化学成份8 1.2材料的机械性能9 1.2.1基本力学性能9 1.2.2工艺性11 1.3对预镀钢板的特殊要求11 1.3.1表面镀层厚度及表面处理方式11 1.3.2表面外观质量11 1.3.3镀层附着性试验12 1.3.4表面耐蚀性12 1.3.5表面接触电阻13 1.3.6与有机涂层的结合力13 2替代材料13 3附录:预镀钢板外观花纹图片15 4参考文献REFERENCE DOCUMENT 16 表目录List of Tables 表1 钢材牌号及化学化学成份8

表2 材料力学性能要求10 表3 替代材料表13 图目录List of Figures 图1 耐指纹电镀锌钢板:均匀的灰色15 图2 热镀铝锌板:小晶花15 图3 热浸镀锌板:大晶花16 图4 热浸镀锌板(GI料):无晶花、但有锌纹16

金属材料质量要求 Requirement for the metal material 范围Scope: 本规范规定了华为技术有限公司结构产品所用到的金属材料的质量要求。 本规范适用于华为技术有限公司结构产品的设计、生产、和质量检验。 简介Brief introduction: 本文说明了华为技术有限公司结构产品中所用到的所有金属原材料的种类以及每种材料的详细质量指标要求、检测方法和质量控制要求。包括材料牌号、化学成份、强度等等项目。本文所提到的压铸材料仅是指压铸加工用的原材料,对于压铸件产品的质量请参见其它规范。 关键词Key words: 金属,材料,结构,质量 引用文件: 下列文件中的条款通过本规范的引用而成为本规范的条款。凡是注日期的引用文件,其随后所有的修改单(不包括勘误的内容)或修订版均不适用于本规范,然而,鼓励根据本规范达成协议的各方研究是否可使用这些文件的最新版本。凡是不注日期的引用文件,其最新版本适用于本规范。

华为技术有限公司企业技术标准PCB检验标准

Q/DKBA 华为技术有限公司企业技术标准 Q/DKBA3178.2-2004 代替Q/DKBA3178.2-2003 高密度PCB(HDI)检验标准 2004年11月16日发布 2004年12月01日实施 华为技术有限公司 Huawei Technologies Co., Ltd. 版权所有侵权必究 All rights reserved

目次 前言 (4) 1范围 (6) 1.1范围 (6) 1.2简介 (6) 1.3关键词 (6) 2规范性引用文件 (6) 3术语和定义 (6) 4文件优先顺序 (7) 5材料要求 (7) 5.1板材 (7) 5.2铜箔 (7) 5.3金属镀层 (8) 6尺寸要求 (8) 6.1板材厚度要求及公差 (8) 6.1.1芯层厚度要求及公差 (8) 6.1.2积层厚度要求及公差 (8) 6.2导线公差 (8) 6.3孔径公差 (8) 6.4微孔孔位 (9) 7结构完整性要求 (9) 7.1镀层完整性 (9)

7.2介质完整性 (9) 7.3微孔形貌 (9) 7.4积层被蚀厚度要求 (10) 7.5埋孔塞孔要求 (10) 8其他测试要求 (10) 8.1附着力测试 (10) 9电气性能 (11) 9.1电路 (11) 9.2介质耐电压 (11) 10环境要求 (11) 10.1湿热和绝缘电阻试验 (11) 10.2热冲击(Thermal shock)试验 (11) 11特殊要求 (11) 12重要说明 (11)

前言 本标准的其他系列规范:Q/DKBA3178.1 刚性PCB检验标准 Q/DKBA3178.3 柔性印制板(FPC)检验标准 与对应的国际标准或其他文件的一致性程度:本标准对应于“IPC-6016 Qualification and Performance Specification for High Density Interconnect(HDI) Layers or Boards”。本标准和IPC-6016的关系为非等效,主要差异为:依照华为公司实际需求对部分内容做了补充、修改和删除。 标准代替或作废的全部或部分其他文件:Q/DKBA3178.2-2003 高密度PCB(HDI)检验标准 与其他标准或文件的关系: 上游规范 Q/DKBA3061 《单面贴装整线工艺能力》 Q/DKBA3062 《单面混装整线工艺能力》 Q/DKBA3063 《双面贴装整线工艺能力》 Q/DKBA3065 《选择性波峰焊双面混装整线工艺能力》 DKBA3126 《元器件工艺技术规范》 Q/DKBA3121 《PCB基材性能标准》 下游规范 Q/DKBA3200.7 《PCBA板材表面外观检验标准》 Q/DKBA3128 《PCB工艺设计规范》 与标准前一版本相比的升级更改的内容: 相对于前一版本的变化是修订了RCC材料厚度及公差要求、微孔及埋孔孔径公差要求、镀铜厚度、热冲击条件等,增加了微孔形貌、积层被蚀厚度要求等。 本标准由工艺委员会电子装联分会提出。 本标准主要起草和解释部门:工艺基础研究部 本标准主要起草专家:工艺技术管理部:居远道(24755),手机业务部:成英华(19901)本标准主要评审专家:工艺技术管理部:周欣(1633)、王界平(7531)、曹曦(16524)、张寿开(19913)、李英姿(0181)、张源(16211)、黄明利(38651),手机业务部:丁海幸(14610),采购策略中心:蔡刚(12010)、张勇(14098),物料品质部:宋志锋(38105)、黄玉荣(8730),互连设计部:景丰华(24245)、贾荣华(14022),制造技术研究部总体技术部:郭朝阳(11756)

华为软件开发规范

软件开发行为规范 第一版 深圳市华为技术有限公司 版权所有不得复制

软件开发行为规范 (第一版) 为了把公司已经发布的软件开发过程规范有效地运作于产品开发活动中,把各种规范“逐步形成工程师的作业规范”,特制定本软件开发行为规范,以达到过程控制的目的。 与软件开发相关的所有人员,包括各级经理和工程师都必须遵守本软件开发行为规范。对违反规范的开发行为,必须按照有关管理规定进行处罚。 本软件开发行为规范的内容包括:软件需求分析、软件项目计划、概要设计、详细设计、编码、需求管理、配置管理、软件质量保证、数据度量和分析等。 本软件开发行为规范,采用以下的术语描述: ★规则:在软件开发过程中强制必须遵守的行为规范。 ★建议:软件开发过程中必须加以考虑的行为规范。 ★说明:对此规则或建议进行必要的解释。 ★示例:对此规则或建议从正或反两个方面给出例子。 本软件开发过程行为规范由研究技术管理处负责解释和维护。 研究技术管理处

目录 1 软件需求分析 5 2 软件项目计划9 3 概要设计11 4 详细设计14 5 编码18 6 需求管理19 7 软件配置管理21 8 软件质量保证23 9 数据度量和分析25

1 软件需求分析 1-1:软件需求分析必须在产品需求规格的基础上进行,并保证完全实现产品需求规格的定义。 1-2:当产品的需求规格发生变更时,必须修订软件需求规格文档。软件需求规格的变更必须经过评审,并保存评审记录。 1-3:必须对软件需求规格文档进行正规检视。 1-4:软件需求分析过程活动结束前,必须经过评审,并保存评审记录。 1-5:在对软件需求规格文档的正规检视或评审时,必须检查软件需求规格文档中需求的清晰性、完备性、兼容性、一致性、正确性、可行性、易修改性、健壮性、易追溯性、易理解性、易测试性和可验证性、性能、功能、接口、数据、可维护性等内容。 说明:参考建议1-1到1-16。 1-1:采用以下检查表检查软件需求规格文档中需求的清晰性。 1-2:采用以下检查表检查软件需求规格文档中需求的完备性。

华为结构类技术任职资格标准

华为技术有限公司 结构类技术任职资格标准 版本号:2.0 拟制单位:结构造型设计部/技术干部部 二○○一年十一月

目录 概述 ................. ... ... ... 3页 第一部分级别定义................5页 第二部分资格标准.............. 8页

概述 任职资格管理的目的 ?规范人才的培养和选拔,推动做实的人不断提高水平,引导有水平的人做实,按做实给予评价。 ?激励员工不断提高其职位胜任能力,以职业化的员工队伍参与国际竞争。?树立有效培训和自我学习的标杆,以资格标准牵引员工不断学习、不断改进,保持公司的持续性发展。 任职资格认证原则 ?以关键行为和核心技能为中心 ?以工作实绩为导向 ?标准公开、程序公正 ?测试、评议相结合 任职资格标准体系 ?结构类任职资格标准由工作经验、必备知识、技能标准、工作绩效、行为标准等五个部分组成。 结构类任职资格认证对象

从事结构类工作的人员

第一部分级别定义 根据结构类的实际情况,将技术任职资格等级分为一至六级,如下图所示。 级别定义描述了各级人员的工作定义、工作内容、工作性质、主要职责及影响范围。 级别代码:T0501(01) 级别名称:结构类一级工程师 要点:有一定的结构造型或结构设计与验证实践经验;能够独立进行结构设计验证工作;承担产品结构部分的详细设计、实现、验证、BOM及文档编号、改进与维护等工作;是结构模块功能的直接实现者、操作者、测试验证者。在二级及以上工程师指导下解决验证过程中一般问题,按计划要求完成任务并保证质量。 级别代码:T0501(02) 级别名称:结构类二级工程师 要点:有较多的结构造型或结构设计与验证实践经验,以及一定的结构概要设计经验。能够独立完成结构设计与验证工作,以及一定的结构概要设计工作。承担较复杂的结构详细设计与验证,以及复杂程度一般的结构概要设计等工作。在三级及以上工程师的指导下解决结构开发及验证过程中的一般难题,按时完成指标、计划并保证质量。具有培养、辅导新员工,担任新员工思想导师的能力和责任。 级别代码:T0501(03) 级别名称:结构类三级工程师 要点:有较多的确定结构设计规格及方案、完成复杂结构详细设计的经验,

华为软件测试类技术任职资格标准doc

华为技术有限公司 软件测试类技术任职资格标准 版本号:2.0 拟制单位:测试业务部/技术干部部 二○○一年十一月

目录 概述 .............................. 3页 第一部分级别定义................. 5页第二部分资格标准................ 8页

概述 任职资格管理的目的 ?规范人才的培养和选拔,推动做实的人不断提高水平,引导有水平的人做实,按做实给予评价。 ?激励员工不断提高其职位胜任能力,以职业化的员工队伍参与国际竞争。?树立有效培训和自我学习的标杆,以资格标准牵引员工不断学习、不断改进,保持公司的持续性发展。 任职资格认证原则 ?以关键行为和核心技能为中心 ?以工作实绩为导向 ?标准公开、程序公正 ?测试、评议相结合 任职资格标准体系 ?软件测试类任职资格标准由工作经验、必备知识、技能标准、工作绩效、行为标准等五个部分组成。 软件测试类任职资格认证对象

从事软件测试类工作的人员

第一部分级别定义 根据软件测试类的实际情况,将技术任职资格等级分为一至六级,如下图所示。 级别定义描述了各级人员的工作定义、工作内容、工作性质、主要职责及影响范围。 级别代码: T0401(01) 级别名称:软件测试类一级工程师 要点:有一定系统特性的测试实践经验,参与测试方案和测试用例的设计,能够独立完成测试代码实现、测试环境搭建、测试执行等工作。承担华为某一产品领域或特定产品技术领域中一般系统特性的测试、质量保证活动等工作。在二级及以上工程师的指导下按计划要求完成任务并保证其质量。

341_华为qa类技术任职资格标准

QA类技术任职资格标准 版本号: 2.0 拟制单位:技术管理处/ 技术干部部

二○○一年十一月 概述..................... 3 页 第一部分级别定义 ........................ 5 页 第二部分资格标准 .......................... 7 页 任职资格管理的目的 规范人才的培养和选拔,推动做实的人不断提高水平,引导有水平的人做实,按做实给予评价。 激励员工不断提高其职位胜任能力,以职业化的员工队伍参与国际竞争。树立有效培训和自我学习的标杆,以资格标准牵引员工不断学习、不断改进,保持公司的持续性发展。 任职资格认证原则 以关键行为和核心技能为中心 以工作实绩为导向 标准公开、程序公正 测试、评议相结合 任职资格标准体系 QA类任职资格标准由工作经验、必备知识、技能标准、工作绩效、行为标准等五个部分组成。

QA类任职资格认证对象从事QA类工作的人员

第一部分 级别定义 根据QA类的实际情况,将技术任职资格等级分为三至六级,如下图所示 级别定义 资格标准 级别定义描述了各级人员的工作定义、工作内容、工作性质、主要职责及影响范围 级别代码:T0901(03)级别名称:QA类三级工程师 要点:熟悉公司开发流程,熟悉产品开发过程,了解项目管理过程,有一定模块开发/ 测试实践经验。独立进行开发流程、开发方法的引导,进行基线审计和交付物审计,了解质量原理,了解统计过程控制,对质量目标把关。 级别代码:T0901 (04) 级别名称:QA类四级工程师 要点:熟悉公司开发流程,熟悉产品开发过程,掌握项目管理过程,有复杂模块开发/ 测试实践经验,有较多的产品/ 软件工程经验。有开发流程、开发方法的引导的成功经验,进行基线审计和交付物审计,参与公司内部审计。熟悉质量原理,熟悉统计过程控制,对

华为技术有限公司硬件质量标准

华为技术有限公司硬件质量标准 华为技术有限公司硬件质量标准 华为技术有限公司 硬件质量标准 使用说明: 1、目的: 本标准为客户监控检查华为技术有限公司安装的通信设备的硬件工程质量提供依据,便于与客户协商明确客户对 工程硬件安装质量的要求,保证工程硬件安装质量满足客户要求,交付给客户满意的工程硬件安装质量,为今后 设备安全稳定运行提供保证。 2、适用范围: 本标准适用于客户监控检查华为技术有限公司安装的通信设备的工程硬件质量。 3、使用总则: 本标准与安装手册并用,对华为技术有限公司安装的通信设备的工程硬件安装质量进行监控检查,若与客户安装 要求有冲突时,以与客户协商的要求为准。 4、“备注”栏填写检查发现问题的原因说明。 5、本标准解释权归华为技术有限公司。 一、机架(机箱)安装 序号检查内容检查方法合格不合格备注 1 机架(机箱)安装位置符合工程设计文件。查看安装位置。

机架(机箱)固定可靠,符合工程设计文件的抗查看。 2 震要求。 机架结构件规范正确安装,无脱落或碰坏现象,参考安装手册。 螺栓全部正确紧固,单板插拔顺畅,客户自制件 3 安装符合客户要求。 机架垂直偏差度小于3mm。测量。 4 主走道侧各行机架应对齐成直线,误差小于5mm。测量。 5 整行机架表面应在同一平面,排列紧密整齐。查看。 6 室外安装的设备应进行防水处理。查看。 7 机架标识正确、清晰、整齐。查看。 8 二、信号电缆布放 序号检查内容检查方法合格不合格备注 信号电缆走线路由符合工程设计文件,便于维护查看。 1 扩容。 信号电缆不应有破损、断裂。查看。 2 信号电缆插头干净无损坏,插接正确可靠,芯查看。 3 线卡接牢固。 电缆绑扎正确,间距均匀,松紧适度,槽道内电查看。 4 缆允许不绑扎。 信号电缆布放应横平竖直,理顺、不交叉,转弯查看(出机柜1m 5 处留适当余量。内允许交叉)。 尾纤机柜外布放时,不应有其它电缆或物品挤压,查看。 6 且应加套管或槽道保护。 馈线表面无破皮、弯折现象,固定可靠,入室前查看。 7 采取必要的避水措施。 信号电缆两端标识正确、清晰,整齐。查看。 8 第 1 页共 3 页 华为技术有限公司硬件质量标准三、终端、天线等安装

【安全制度】华为技术有限公司内部技术规范(doc 39页)

华为技术有限公司内部技术规范 (doc 39页) 部门: xxx 时间: xxx 整理范文,仅供参考,可下载自行编辑

DKBA 华为技术有限公司内部技术规范 DKBA 1606-XXXX.X Web应用安全开发规范 V1.5 2013年XX月XX日发布 2013年XX月XX日实施 华为技术有限公司 Huawei Technologies Co., Ltd. 版权所有侵权必究 All rights reserved

修订声明Revision declaration 本规范拟制与解释部门: 网络安全能力中心&电信软件与核心网网络安全工程部 本规范的相关系列规范或文件: 《C&C++语言安全编程规范》《Java语言安全编程规范》 相关国际规范或文件一致性: 无 替代或作废的其它规范或文件: 无 相关规范或文件的相互关系: 《产品网络安全红线》和《电信软件与核心网业务部安全能力基线》中的Web安全要求引用了本规范的内容,如果存在冲突,以本规范为准。

目录 Table of Contents 1概述 (8) 1.1背景简介 (8) 1.2技术框架 (8) 1.3使用对象 (9) 1.4适用范围 (9) 1.5用词约定 (10) 2常见WEB安全漏洞 (10) 3WEB设计安全规范 (11) 3.1W EB部署要求 (11) 3.2身份验证 (12) 3.2.1口令 (12) 3.2.2认证 (12) 3.2.3验证码 (15) 3.3会话管理 (15) 3.4权限管理 (17) 3.5敏感数据保护 (18) 3.5.1敏感数据定义 (18) 3.5.2敏感数据存储 (18) 3.5.3敏感数据传输 (20) 3.6安全审计 (21) 3.7W EB S ERVICE (22) 3.8REST FUL W EB S ERVICE (23) 3.9DWR (24) 4WEB编程安全规范 (25) 4.1输入校验 (25) 4.2输出编码 (29) 4.3上传下载 (29)

DKBA04000190-E华为图纸说明规范标准规范标准

DKBA 华为技术有限公司内部技术规范 Technical Specification of Huawei Technologies Co., Ltd DKBA0.400.0190 REV.E 代替 DKBA 0.400.0190 REV.D 华为图纸说明规范 Specification of Explanation for Huawei Drawings 2014年06月30日发布 2014年07月05日实施 Released on Jun. 30, 2014 Implemented on Jul. 05, 2014

华为技术有限公司Huawei Technologies Co., Ltd. 版权所有侵权必究 All rights reserved

修订声明 Revision declaration 本规范拟制与解释部门:华为技术有限公司整机工程部 本规范的相关系列规范或文件:无 相关国际规范或文件一致性:无 替代或作废的其它规范或文件:DKBA 0.400.0190 REV.D 相关规范或文件的相互关系:无

目录 范围: (4) 1.标准应用原则5 2.图框结构说明5 3.图纸标注说明7 3.1. 图面说明7 3.2. 压铆件标注 7 3.3. 焊接符号标注8 3.4. 紧固件材质和表面处理标注8 4.材料栏内容说明8 4.1. 标准材料标注格式8 4.2. 非标准材料标注格式 10 4.3. 特殊标注11 5.表面处理代码说明11 5.1. 表面处理代码编码规则11 5.2. 表面处理代码表示的内容 11 6.附录17

6.1. 常用材料新旧表示对照表 17 6.2. 作废代码列表18 华为图纸说明规范 Specification of Explanation for Huawei Drawings 范围: 本规范规定了华为技术有限公司结构件设计图的标题栏中各部分的表示规则以及图面标注规则。 本规范适用于华为技术有限公司结构产品的生产和质量检验。 简介: 本规范对结构件设计图纸标注进行了说明。主要介绍了图框各部分所表达的含义,以及结构材料代号和表面处理代号的含义。 关键词: 材料,结构,图框,标注,表面处理,代号 引用文件: 下列文件中的条款通过本规范的引用而成为本规范的条款。凡是注日期的引用文件,其随后所有的修改单(不包括勘误的内容)或修订版均不适用于本规范,然而,鼓励根据本规范达成协议的各方研究是否可使用这些文件的最新版本。凡是不注日期的引用文件,其最新版本适用于本规范。

相关文档
最新文档