计组实验报告

计组实验报告
计组实验报告

计算机组成原理实验报告一

一、算术逻辑运算器

1. 实验目的与要求:

目的:①掌握算术逻辑运算器单元ALU(74LS181)的工作原理。

②掌握简单运算器的数据传输通道。

③验算由74LS181等组合逻辑电路组成的运输功能发生器运输功能。

④能够按给定数据,完成实验指定的算术/逻辑运算。

要求:完成实验接线和所有练习题操作。

实验前,要求做好实验预习,掌握运算器的数据传送通道和ALU的特性,并熟悉本实验中所用的模拟开关的作用和使用方法。

实验过程中,要认真进行实验操作,仔细思考实验有关的内容,把自己想得不太明白的问题通过实验去理解清楚,争取得到最好的实验结果,达到预期的实验教学目的。

实验完成后,要求每个学生写出实验报告。

2. 实验方案:

1.两片74LS181(每片4位)以并/串联形式构成字长为8为的运算器。

2.8为运算器的输出经过一个输入双向三态门(74LS245)与数据总线相连,运算器的两个数据输入端分别与两个8位寄存器(74LS273)DR1和DR2的输出端相连,DR1和DR2寄存器是用于保存参加运算的数据和运算的结果。寄存器的输入端于数据总线相连。

3.8位数据D7~D0(在“INPUT DEVICE”中)用来产生参与运算的数据,并经过一个输出三态门(74LS245)与数据总线相连。数据显示灯(BUS UNIT)已与数据总线相连,用来显示数据总线上所内容。

4.S3、S2、S1、S0是运算选择控制端,由它们决定运算器执行哪一种运算(16种算术运算或16种逻辑运算)。

5.M是算术/逻辑运算选择,M=0时,执行算术运算,M=1时,执行逻辑运算。

6.Cn是算术运算的进位控制端,Cn=0(低电平),表示有进位,运算时相当于在最低位上加进位1,Cn=1(高电平),表示无进位。逻辑运算与进位无关。

7.ALU-B是输出三态门的控制端,控制运算器的运算结果是否送到数据总线BUS上。低电平有效。

8.SW-B是输入三态门的控制端,控制“INPUT DEVICE”中的8位数据开关D7~D0数据是否送到数据总线BUS上。低电平有效。

9.LDDR1是寄存器DR1存数控制信号,LDDR2是寄存器DR2存数控制信号。它们都是高电平有效。

10.A0~A3是4位数据输入通道A,B0~B3是4位数据输入通道B。

11.F0~F3是运算结果输出端。

3. 实验结果和数据处理:

实验结果记录表:

4. 结论

经过本次试验我懂得了用逻辑运算器进行简单的算术/逻辑运算,掌握了逻辑运算器的工作特性及使用方法。因为是第一次做实验,对时间的把握不是很好和对实验的仪器的不熟悉,所以导致很晚才完成老师的实验要求。

5. 问题与讨论及实验总结

问题与讨论:

1.写出实验中各控制端的作用。

(1)KK2开关可获得实验所需的单脉冲信号。

(2)S3、S2、S1、S0、M、Cn、LDDR1、LDDR2、ALU-B、SW-B均为电平信号,与“SWITCH UNIT”中的二进制开关对应相接,用于模拟产生电平控制信号。开关状态打上去是高电平,打下来是低电平。

(3)数据开关输入数据时,要打开SW-B,关闭ALU-B。

2.在实验中哪些控制端高电平有效,哪些控制端低电平有效?

ALU-B、SW-B为低电平有效,LDDR1、LDDR2为高电平有效。

3.在实验正常的输入和输出操作中,SW-B和ALU-B为什么不能同时为“0”状态?

SW-B为输入开关,低电平(SW-B=0)有效,ALU-B低电平有效(ALU-B=0)有效。

实验总结

经过本次试验,我明白到了做计算机组成原理的实验首先一定要注意线路的连接,有些要对应的要一一对应,我一开始因为没注意.弄得数据不正确,还有要注意操作方法等等,总的来说,这次做的实验还是比较成功的,我掌握了算术逻辑运算器单元ALU(74LS181)的工作原理,并熟悉了怎样输入输出数据和验算由74LS181等组合逻辑电路的运算功能发生器运算功能等实验步骤和要求.

6. 思考选择题:(单选题)

1、( A )2、(A )3、(B)4、(B)

5、( A )6、( B )7、(B)8、(B)

二、进位控制运算器

1、实验目的与要求:

目的:①验证带进位控制的算术运算发生器的功能。

②按给定的数据完成几种指定的算术运算。

要求:完成实验接线和所以练习题的操作。

实验前,要求做好实验预习,掌握进位控制运算器的原理。

实验过程中,要认真进行实验操作,仔细思考实验有关的内容,把自己想不太明白的问题通过实验去理解清楚,争取得到最好的实验结果,达到预期实验教学目的。

实验完成后,要求每个学生写出实验报告。

2、实验方案:

1.在算术逻辑运算实验的基础上增加进位控制部分,进位控制部分电路主要由一个74LS74锁存器组成,运算器的高位进位进入74LS74锁存器里。

2.AR是74LS74锁存器的控制号,低电平有效,与T4脉冲信号配合,可打开锁存器把进位结果存入其内。

3.CY是高位进位标志信号,连接一个发光二极管显示进位情况,当高位有进位时等亮,无进位时灯灭。

3、实验结果和数据处理:

实验结果记录表:

4、结论

经过本次试验我懂得了用进位控制运算器进行简单的算术运算,掌握了进位控制运算器的工作特性及使用方法。由于在对逻辑运算器实验的时间的把握不是很好和对实验的仪器的不熟悉,从而导致进位控制运算器的实验要在很短的时间内完成,虽然时间仓促,经过努力还是进本完成了实验的要求。

5、问题与讨论及实验总结

问题与讨论:为什么进位运算操作前要先对进位标志清零?

防止受之前算术结果的影响。

六.实验总结

在做这个实验中由于有了实验一(一)的教训和经验,我们较顺利地完成了这个实验,当然也有一点疏忽就是存数完成后忘了对进位标志清零。但是总的来说,我验证了带进位控制的算术运算功能发生器的功能,掌握了进位控制的算术运算。

6、思考选择题(单选题)

1、( A )2、( A )3、( A )

实验报告二:半导体存储器原理实验1

1.实验目的与要求:

目的:1、掌握静态存储器的工作特性及使用方法。

2、掌握半导体随机存储器怎样存储和读出数据。

要求:实验前,要求做好实验预习,掌握616型RAM存储器的功能特性和使用方法。

实验过程中,要认真进行实验操作和思考实验有关的内容,把自己不太明白的问题通过实验

去理解清楚,争取得到最好的实验结果,达到预期的实验教学目的。

2.实验方案:

1.使用了一片6116静态RAM(2048×8位),但地址端A8~A10脚接地,因此实际上存储容量为256字节。存储器的数据线D7~D0接至数据总线。

2.使用一片8位的74LS273作为地址寄存器(AR),地址寄存器的输出端接存储器6116的地址线A7~A0,所以存储单元的地址由地址存储器AR提供。

3.数据开关(INPUT DEVICE)用来设置地址和数据,它经过一个三态门74LS245与数据总线相连,分别给出地址和数据。

4.地址显示灯A D7~AD0与6116地址线相连,用来显示存储单元的地址,数据总线上的显示灯B7~B0用来显示写入存储单元的数据或从存储单元读出的数据。

5.存储器有三个控制信号:CE片选信号、OE读命令信号、WE写信号。当片选信号CE =0时,RAM被选中,可以进行读/写操作;当CE=1时,RAM未被选中,不能进行读/写操作。读命令信号OE在本实验中已固定接地,在此情况下,当CE=0,WE=1时,存储器进行写操作,当CE=0,WE=0时,存储器进行读操作。

6.LDAR是地址存储器AR存数控制信号。

7.按图连接好实验电路,检查无误后通电。

8.将表2。2的地址和内容转化为二进制

9.参考例1的操作,向存储器单元里先写第一个单元的地址、然后向第一个地址,再写第二个地址,然后向第二个地址单元写内容,就这样不断循环操作,直到做完。

3.实验结果和数据处理:

表2.1

4.结论

通过本实验我掌握了存储器的工作特性及使用方法,先做写地址操作,将数据写入地址寄存器AR里,再对存储器的地址单元进行写内容操作写入相关数据,最后再读出地址单元的内容,本次的实验比较容易完成,我做得很顺利,掌握了半导体存储器怎样存储和读出数据。

5.问题与讨论及实验总结

这个实验在我所做的实验中给我的感觉是比较容易的。它没有很多的数据,也没有很多的步骤要你做。但这是以前做的实验再加深一些,我们要好好的把握这些实验的过程和结果。1、存储器在写操作和读操作的过程中为什么都要先完成写地址操作。

答:在写操作中先完成写地址操作是为了能把数据写到指定的存储单元,从而能使数据不会杂乱。而读操作中写入地址是为了根据地址找内容并读出所要的内容。

2、写地址的操作完成后,在做写内容操作时,为什么要关闭LDAR?

答:因为LDAR是地址寄存器AR存数控制信号。

3、存储器读操作需要T3脉冲吗?

答:不需要。

4、在完成上面练习题操作中,能否先连续输入所有的地址,再连续的输入所有的内容或连续读出所有的内容,为什么?

答:不行。如果先连续输入所有地址再连续输入所有内容,那么会在输入内容的时候出现数据不知道储存在哪个单元。从而使数据杂乱无章。连续读内容也一样,系统不知道该在哪个时候读出哪个数据。

6.思考选择题:(单选题)

1、( A )2、( B )3、( A )

4、( B )

5、( B ) 6 、( B )

计算机组成原理实验四:基本模型机设计与实现

一、实验目的与要求

实验目的:

1.在掌握部件单元电路实验的基础上,将微程序控制器模块与运算器模块、存储器模块组合成一起,组成一台基本模型计算机。

2.用微程序控制器来控制模型机的数据通道。

3.通过CPU运行五条机器指令组成的简单程序,掌握机器指令与微指令的关系,建立利用指令控制整机(输入、输出、运算、存储系统)的概念。

实验要求:

实验前,要求做好实验预习,学会用基本的五条机器指令编写程序。

实验过程中,要认真进行实验操作,仔细思考实验有关的内容,把自己想不太明白的问题通过实验去理解清楚,争取最好的实验结果,达到预期的实验教学目的。

实验完成后,要求写出实验报告。

二、实验方案

1.按下图连接实验线路,仔细检查无误后通电:

2.用基本的五条机器指令编写实验程序。

程序如下:(地址和内容都是十六进制,机器指令的前4位为操作码。)

地址内容助记符说明

00 00 IN R0, 80H

80H R0。数据80H从实验仪左下方“INPUT DEVICE”

中的8位数据开关D7~D0输入,存入R0寄存器。

01 10 ADD R0, (0A) (R0)+(0A) R0。R0寄存器的内容与存储器0A地址

元的内容相加,结果存入R0寄存器。

02 0A

03 20 STA(0B), R0 R0 (0B)。R0寄存器的内容与存入存储器0B地址单

元。

04 0B

05 30 OUT BUS, (0B) (0B) BUS。通过总线输出存储器0B地址单元的内

容。

06 0B

07 40 JMP 00

00 PC。无条件转移到00地址。

08 00

0A 01 存储器0A地址单元的数据,可自定。

0B 求和结果保存在存储器0B地址单元。

微指令的微代码如下:(内容是十六进制)

十六进制地址八进制地址内容十六进制地

八进制地

内容

00 00 108101 0D 15 018202

01 01 82ED01 0E 16 0FE000

02 02 48C000 0F 17 15A000

03 03 04E000 10 20 92ED01

04 04 05B000 11 21 94ED01

05 05 06A201 12 22 17A000

06 06 019A95 13 23 018001

07 07 0DE000 14 24 182000

08 10 011000 15 25 010A07

09 11 83ED01 16 26 81D100

0A 12 87ED01 17 27 100A07 0B 13 8EED01 18 30 118A06 0C 14 96ED01

3.修改和编写实验仪RAM和ROM数据。

三、实验结果和数据处理

(1)实验结果记录表:

(2)练习操作题记录表:

表4.6:

表4.7:

表4.8:

表4.10:

四、结论

(1)微指令控制器控制着运算的操作使运算器有条不紊的工作。(2)经过实验证明,所有的实验结果与理论值一致。

五、问题讨论和实验总结

问题讨论:

(1)程序运行前必须对微地址清零。拨动实验仪右下角清零开关CLR(1→0→1)后,观看实验仪右上方的微地址显示灯(UA0-UA5)是否全为零,若全为零,则正确,若不全为零,说明有问题,要检查。

(2)使用单步微指令运行程序,单击该系统第三行的“单步微指令”图标即可。

(3)在每次单步执行一条微指令的过程中,都要观察屏幕动态流线显示的运行结果,根据屏幕显示的结果分析与该条微指令的格式和功能是否一致。若不一致,必须检查原因。

(4)上面程序的运行中,每执行完一条机器指令,微地址都要返回到01,是应为为取下一条指令作准备。

(5)在每一条机器指令的执行过程中,第一个CPU周期,取指令阶段是由下列微指令组成:01 02。它们完成的操作是:PC AR,M DR,DR IR,PC+1。

实验总结:

(1)通过本次实验,我对基本模型机设计与实现有了进一步的了解,理解它的功能特性和使用方法,对整个模型计算机的程序运行有了更全面的了解。让我能够在掌握部件单元电路实验的基础上,将微程序控制器模块与运算器模块、存储器模块组合成一起,组成一台基本模型计算机;能够用微程序控制器来控制模型机的数据通道;并且通过CPU运行五条机器指令组成的简单程序,掌握了机器指令与微指令的关系,建立了利用指令控制整机(输入、输出、运算、存储系统)的概念。而对运算如何运算,如何无条件跳转都知道,特别是在屏幕动态流线显示流程的帮助下,更容易理解程序的整个流程。

(2)这次实验是个综合性的实验,难度比较大,,因为本实验的接线比较多,某一或两条线坏了,导致无法进行实验。虽然线是老师一开始就接好的,但是在下载数据再刷新后的数据和原本的不一样,出现错误,后来按照PPT的说法换了一条线后终于可以实现,接线才算成功。但是接着那仪器总是一开始没有输入数据的时候就是09而不是00,我们弄了好多

次都不成功,花了好多时间,好不容易才把实验做正确。这次试验很需要耐心,但是理解了整个流程以及接线正确之后其实也不算太难的,只要认真细心就可以做好的。这次实验加强了我的理解能力和实验能力,,也更加巩固、完善和深化了我的知识体系。

六、思考题

(1)上面程序的运行中,为什么每执行完一条机器指令,微地址都要返回到01?取指令阶段是由那些微指令组成?它们完成了什么操作?

答:微地址要返回到01,是应为为取下一条指令作准备。在每一条机器指令的执行过程中,第一个CPU周期,取指令阶段是由下列微指令组成:01 02。它们完成的操作是:PC AR,M DR,DR IR,PC+1。

(2)

表4.12:

实验报告三:微程序控制器实验a

1.实验目的与要求:

实验目的:1、掌握时序产生器的原理和具体操作。

2、掌握微程序控制器的功能、组成知识。

3、掌握微程序的编制、写入、观察微程序的运行,学习基本指令的执行流程。

要求:做好实验预习,掌握进位控制运算器的原理。实验之前,应认真准备,写出实验步骤和具体分析内容,否则实验效率会特别低,一次实验时间根本无法完成实验任务,即使基本做对了,也很难说学懂了些什么重要教学内容。

2.实验方案:

1、连接好实验线路,检查无误后接通电源。

2、编程:(1)将编程开关(MJ20)置为PROM(编程)状态;

(2)将STATE UNIT中的STEP置为“STEP”状态,STOP置为“RUN”状态;

(3)在UA5-UA0开关上置要写的某个微地址(八进制);

(4)在MK24-MK1开关上置要写的微地址相应的24位微代码,24位开关对应24位显示灯,开关量为“1”灯亮,为“0”灯灭;

(5)启动时序电路(按动启动按钮START),即将微代码写入到E2PROM2816的相应地址对应的单元中;

(6)重复(3)~(5)步骤将每一条微指令写入E2PROM2816。

3、校验:(1)将编程开关置为READ状态;

(2)将STEP开关置为“STEP”状态,STOP开关置为“RUN”状态;

(3)在开关UA5~UA0上置好要读的某个微地址;

(4)按动START键,启动时序电路,观察显示灯MD24-MD1的状态,检查读出的微代码是否已写入的相同。如果不同在将开关置于PROM编程状态,重新执行编程步骤;(5)重复(3)(4)步骤将每一条微指令从E2PROM2816中读出。

4、单步运行:(1)将编程开关置于“RUN”状态;

(2)STEP置为“STEP”状态,STOP置为“RUN”状态;

(3)“AW-BUS”开关置为0,将二进制开关INPUT DEVICE区域内的D5-D全为1;

(4)操作CLR开关使信号系统1→0→1;

(5)按动“START”,启动时序电路,则每按动一次启动键,读出一条微

指令,当读到某些指令时需通过强制端强制转换后读出指令。同理把

所有分支后执行一遍。

3.实验结果和数据处理:

Φ

TS1

TS2

TS3

TS4

4.实验结果分析:

分析ADD的每条微指的指令格式和功能:

ADD:为双字长指令。第一字为操作码,第二字为操作数地址,其含义是将R0寄存器的内容与内存中以A为地址单元的数相加,结果放R0寄存器中。

ADD加法指令由11(PC→AR ,PC+1)、03(RAM→BUS, BUS→AR)、04(RAM→BUS ,BUS →DR2)、05(RO→DR1)、06 ((DR1)+(DR2)→RO)共8条微指令组成。

11微指令功能是RAM赋给BUS,BUS赋给DR2; S3 S2 S1 S0 M CN 的值为“000000”代表进行自加1运算;A字段“110”代表选择LDAR操作,B字段“110”是选择PC-B操作;UA5-UA0中“000011”代表下一指令的地址为“011”。

03微指令功能是RAM赋给BUS,BUS赋给DR2; S3 S2 S1 S0 M CN 的值为“000000”代表进行自加1运算;A字段“110”代表选择LDAR操作,B字段“000”是无选择操作;UA5-UA0中“000100”代表下一指令的地址为“100”。

04微指令功能是RAM赋给BUS,BUS赋给DR2; S3 S2 S1 S0 M CN 的值为“000000”代表进行自加1运算;A字段“011”代表选择LDDR2操作,B字段“000”是无选择操作;UA5-UA0中“000101”代表下一指令的地址为“101”。

05微指令功能是RO赋给DR1; S3 S2 S1 S0 M CN 的值为“000000”代表进行自加1运算;A字段“010”代表选择LDDR1操作,B字段“001”是选择RS-B操作;UA5-UA0中“000110”代表下一指令的地址为“110”。

06微指令功能是DR1+DR2的和赋给R0; S3 S2 S1 S0 M CN 的值为“100101”代表进行加法运算;A字段“001”代表选择LDDRi操作,B字段“101”是选择PC-B操作;UA5-UA0中“00000001”代表下一指令的地址为“01”。

5.写出你掌握了的控制信号的作用

A、B、C三个译码字段,通过3:8译码器分别译码出多位控制信号。A字段中,主要是寄存器的打入信号,B字段中主要是寄存器的输出信号,C字段中,主要是测试信号。

C字段中的AR为算术运算是否影响进位及判零标志控制位,其为0有效。LOAD是PC加1

信号,P(1)-P(4)是四个测试判别信号,其功能是根据机器指令及相应微代码进行译码,使微程序输入相应的微地址入口,从而实现微程序的顺序、分支、循坏运行。

B字段中的RS-B、RD-B、RI-B分别为原寄存器选通输出信号、目的寄存器选通输出信号及变址寄存器选通输出信号,其功能是根据机器指令来进行三个工作寄存器R0、R1及R2的选通输出译码。

A字段中LDRi是存入工作寄存器信号的译码器是能控制位,其功能是根据机器指令来进行三个工作寄存器R0、R1及R2的选择存入译码。

6.结论

这次的实验难度比较大,但是我认真听老师的讲解,并根据预习的信息和通过和同学的相互讨论和交流,还是较快的掌握了相关的操作,掌握了程序的编制和写入,并学习了指令的执行流程。

7.问题与讨论及实验总结

本次实验难度较高,而且实验量大,所以首先预习工作要做好,否则很难按时按要求完成实验。实验分两部分进行,前面部分是微程序的编制、写入、观察微程序的运行,学习基本指令的执行流程。在这过程中,难点就是要掌握如何通过强制端设置分支地址,。后半部分就是用联机软件的逻辑示波器观察时序信号。这个相对简单,连接好操作起来也很快。

问题:

1、本次实验共设计了几条指令?

答:共11条。分别是IN(输入),ADD(二进制加法),STA(存数),OUT(输出),JMP(无条件转移).

2、 S

3、S2、S1、S0、M、CN控制信号共同起到什么作用?

答:S3 S2 S1 S0 M CN 控制信号共同起到选择进行何种算术逻辑运算的作用。

3、写出WE信号的作用。

答:WE信号的作用是写命令。

4、 UA5-UA0是当前微地址还是后继微地址?

答:UA5-UA0是后继微地址。

8.思考选择题:(单选题)

1、( A )2、( C )3、( A )4、( A )5、( B ) 6 、( C ) 7、( B ) 8、( A )

9、( A ) 10、( B ) 11、( C )

计组实验报告

计算机组成原理实验报告 实验1:VERILOG 设计基础 专业班级:14级计算机二班 学号:14048001 姓名:杨娜 学号:14048003 姓名:周蓉 实验地点:理工楼901 实验时间:2016年5月14日

实验十VGA显示控制器的设计 一、实验目的 1、学习VERILOG的基本语法和编程规则 2、掌握通用寄存器等常用基本数字模块的VERILOG描述和基本设计方法 3、理解带使能控制和异步清零的8位寄存器的设计原理 4、掌握使用VERILOG设计和验证带使能控制和异步清零的8位寄存器的方法 5、掌握移位寄存器的设计方法 二、实验任务 1、设计一个带使能控制和异步清零的8位寄存器REG8X,实现8位输入的锁存,在时钟的上升沿处得到一个8位的输出和一个8位的反向输出,将结果显示在发光二极管。 模块的端口描述如下: 模块的参考物理结构如下: R7 R6 R i R 0 7 6 i 0 带使能控制和异步清零的8位寄存器 模块的使用注意事项

1.数据源D(7..0)一直加在寄存器的数据输入端; 2.周期性的时钟信号Clock一直加在寄存器的时钟输入端 3.使能信号Enable控制寄存器是否接受数据。当Enable = '0'时,寄存器不 接受数据,保持原来的状态不变;当Enable = '1'时,在时钟信号Clock正 跳变时,寄存器接受并保存当时D(7..0)的数据; 4.本寄存器其它方面的功能与上述的寄存器相同。 完成的参考电路图如下:dout=q 2、设计一个有左、右移位功能的8位寄存器REGSHIFT8,并仿真验证。

三、实验内容 1、通过输入数据先进行计算,并通过实验进行验证REG8X。 (1)、将清零信号Resetn(sw17)设为0,将输入信号D(sw7~sw0)设为10101010,观察输出信号Q(ledr7~ledr0)和Qb(ledg7~ledg0),观察并记录输出。 (2)、将清零信号Resetn(sw17)设为1,在时钟信号处输入一个上升沿(按下key0),观察并记录输出。 (3)、将输入信号D(sw7~sw0)设为01010101,观察并记录输出。 (4)、在时钟信号处输入一个上升沿(按下key0),观察并记录输出。 (5)、自行完善设计表格,观察并记录测试输出。 实验数据表 2、通过输入数据先进行计算,并通过实验进行验证REGSHIFT8。 (1)、测试清零信号Resetn (2)、测试移位功能 (3)、测试寄存功能 (4)、自行设计表格观察并记录测试输出。 实验数据表

测量学实验报告_1

测量学实验报告 测量学实验报告 测量学(又名测地学)涉及人类生存空间,及通过把空间区域列入统计(列入卡片索引),测设定线和监控来对此进行测定。它的任务从地形和地球万有引力场确定到卫土地测量学(不动产土地),土地财产证明,土地空间新规定和城市发展。 一、实验目的;由于测量学是一门实践性很强的学科,而测量实验对培养学生思维和动手能力、掌握具体工作程序和内容起着相当重要的作用。实习目的与要求是熟练掌握常用测量仪器(水准仪、经纬仪)的使用,认识并了解现代测量仪器的用途与功能。在该实验中要注意使每个学生都能参加各项工作的练习,注意培养学生独立工作的能力,加强劳动观点、集体主义和爱护仪器的教育,使学生得到比较全面的锻炼和提高.

测量实习是测量学理论教学和实验教学之后的一门独立的实践性教学课程,目的在于: 1、进一步巩固和加深测量基本理论和技术方法的理解和掌握,并使之系统化、整体化; 2、通过实习的全过程,提高使用测绘仪器的操作能力、测量计算能力.掌握测量基本技术工作的原则和步骤; 3.在各个实践性环节培养应用测量基本理论综合分析问题和解决问题的能力,训练严谨的科学态度和工作作风。 二、实验内容 步骤简要:1)拟定施测路线。选一已知水准点作为高程起始点,记为a,选择有一定长度、一定高差的路线作为施测路线。然后开始施测第一站。以已知高程点a作后视,在其上立尺,在施测路线的前进方向上选择适当位置为第一个立

尺点(转点1)作为前视点,在转点1处放置尺垫,立尺(前视尺)。将水准仪安置在前后视距大致相等的位置(常用步测),读数a1,记录;再转动望远镜瞄前尺读数b1,并记录 2)计算高差。h1=后视读数一前视读数=a1-b1,将结果记入高差栏中。然后将仪器迁至第二站,第一站的前视尺不动变为第二站的后视尺,第一站的后视尺移到转点2上,变为第二站的前视尺,按与第一站相同的方法进行观测、记录、计算。按以上程序依选定的水准路线方向继续施测,直至回到起始水准点bm1为止,完成最后一个测站的观测记录。 3)成果检核。计算闭合水准路线的高差闭合差;若高差闭合差超限,应先进行计算校核,若非计算问题,则应进行返工重测。 实习过程中控制点的选取很重要,控制点应选在土质坚实、便于保存和安置水准仪的地方,相邻导线点间应通视良好,便于测角量距,边长约60米至100米左右。我觉得我们组测量时就有一个点的通视不是很好,有树叶遮挡,但是那也没办法,因为那个地方的环境所致,幸好我们可以解决.还

杭电计组实验报告10

计组实验十 老师:包健 一、源代码测试模块代码: module Top( inputinclk, inputmem_clk, inputrst, outputreg[7:0] LED, input [3:0] SW ); wireclk; MyButtonmb( .clk_100MHz(mem_clk), .BTN(inclk), .BTN_Out(clk) ); wire [31:0] ALU_F; wire [31:0] M_R_Data; wire ZF; wire OF; wire [31:0]PC; My_I_CPUmy_i_cpu( .clk(clk), .mem_clk(mem_clk), .rst(rst), .ALU_F(ALU_F), .M_R_Data(M_R_Data), .ZFF(ZF), .OF(OF), .PC_out(PC) ); always@(*) begin case(SW) 4'd0:LED=ALU_F[7:0]; 4'd1:LED=ALU_F[15:8]; 4'd2:LED=ALU_F[23:16]; 4'd3:LED=ALU_F[31:24]; 4'd4:LED=M_R_Data[7:0];

4'd5:LED=M_R_Data[15:8]; 4'd6:LED=M_R_Data[23:16]; 4'd7:LED=M_R_Data[31:24]; 4'd8:LED={ZF,6'd0,OF}; 4'd12:LED=PC[7:0]; 4'd13:LED=PC[15:8]; 4'd14:LED=PC[23:16]; 4'd15:LED=PC[31:24]; default:LED=8'b0000_0000; endcase end endmodule 顶层模块代码: moduleMy_I_CPU( inputclk, inputmem_clk, inputrst, output [31:0] ALU_F, output [31:0] M_R_Data, output ZFF, output OF, output [31:0]PC_out ); //wire clk_n = ~clk; wire[31:0] codes; wire [31:0]PC_new; reg [31:0]PC; Inst_Fetch1 inst_fetch( .PC(PC), .rst(rst), .clk(clk), .Inst_codes(codes), .PC_new(PC_new) ); wire[5:0] OP; wire[5:0] func;

实验报告模板1(1)

湖北民族学院信息工程学院实验报告 (电气、电子类专业用) 班级:000000 姓名:00000 学号:0000000000000 实验成绩: 实验时间:2019年6月10日5-8节实验地点:自动控制原理实验室课程名称:电力电子技术与matlab仿真实验类型:设计型□验证型□综合型□实验题目:三相桥式全控整流及有源逆变电路 实验仪器:装有matlab软件的电脑一台

(1)交流电压源的参数设置 三相电源的相位互差120°,设置交流峰值相电压为100V、频率为60Hz。(2)负载的参数设置 H =C R Ω L , inf , 45= =

本实验中只要改变参数对话框的数值的大小,即改 变了触发信号的控制角。打开仿真 ode23tb 0.02s 启动仿真。 打开仿真/参数窗后,选择ode23tb 设置好各模块参数后,启动仿真;改变触发角 3、有源逆变带电阻电感性负载的仿真 (1)各模块参数设置同上

Continuous pow ergui v +- Ud alpha_deg AB BC CA Block pulses Synchronized 6-Pulse Generator Scope i +- Id i +-IC i +-IB i +- IA 0Constant2 30 Constant1 v +- CA C v +- BC B v +-AB A + RLC g A B C + - Bridge Iabc id ud Uabc 6pulse 2 时三相电压、三相电流、触发信号、负载电压和负载电流的波形

图 4=120时三相电压、三相电流、触发信号、负载电压和负载电流的波形图=150时三相电压、三相电流、触发信号、负载电压和负载电流的波形

计组-加法器实验报告

半加器、全加器、串行进位加法器以及超前进位加法器 一、实验原理 1.一位半加器 A和B异或产生和Sum,与产生进位C 2.一位全加器 将一位半加器集成封装为halfadder元件,使用两个半加器构成一位的全加器 3.4位串行进位加法器 将一位全加器集成封装为Fulladder元件,使用四个构成串行进位加法器

4.超前进位加法器(4位) ⑴AddBlock 产生并行进位链中的ti(即Cthis)和di(即Cpass),以及本位结果Sum ⑵进位链(Cmaker) 四位一组并行进位链,假设与或非门的级延迟时间为1.5ty,与非门的延迟时间为1ty,在di和ti产生之后,只需2.5ty就可产生所有全部进位

⑶超前进位加法器 将以上二者结合起来即可完成,A和B各位作为各个AddBlock的输入,低一位的进位Ci-1作为本位AddBlock的C-1的输入。各个AddBlock输出的C_this和C_pass作为对应的Cmaker的thisi和passi的输入。

二、实验器材 QuartusII仿真软件,实验箱 三、实验结果 1.串行进位加法器结果 2.超前进位加法器结果

四、实验结果分析 1.实验仿真结果显示串行加法器比超前进位加法器快,部分原因应该是电路结构优化 不到位。另外由于计算的位数比较少,超前进位加法链结构较复杂,所以优势没体现出来,反倒运作的更慢一点。当位数增加的时候,超前进位加法器会比串行的更快。 2.波形稳定之前出现上下波动,应该与“竞争冒险”出现的情况类似,门的延迟和路径 的不同导致了信号变化时到达的时间有先有后,因此在最终结果形成前出现了脉冲尖峰和低谷;另外也可能部分原因由于电路结构优化的不到位所致

测量学实验报告.

测量学实验报告 2018-09-06 测量学实验报告 测量学(又名测地学)涉及人类生存空间,及通过把空间区域列入统计(列入卡片索引),测设定线和监控来对此进行测定。它的任务从地形和地球万有引力场确定到卫土地测量学(不动产土地),土地财产证明,土地空间新规定和城市发展。 一、实验目的;由于测量学是一门实践性很强的学科,而测量实验对培养学生思维和动手能力、掌握具体工作程序和内容起着相当重要的作用。实习目的与要求是熟练掌握常用测量仪器(水准仪、经纬仪)的使用,认识并了解现代测量仪器的用途与功能。在该实验中要注意使每个学生都能参加各项工作的练习,注意培养学生独立工作的能力,加强劳动观点、集体主义和爱护仪器的教育,使学生得到比较全面的锻炼和提高. 测量实习是测量学理论教学和实验教学之后的一门独立的实践性教学课程,目的在于: 1、进一步巩固和加深测量基本理论和技术方法的理解和掌握,并使之系统化、整体化; 2、通过实习的全过程,提高使用测绘仪器的操作能力、测量计算能力.掌握测量基本技术工作的原则和步骤; 3.在各个实践性环节培养应用测量基本理论综合分析问题和解决问题的能力,训练严谨的科学态度和工作作风。 二、实验内容 步骤简要:1)拟定施测路线。选一已知水准点作为高程起始点,记为a,选择有一定长度、一定高差的路线作为施测路线。然后开始施测第一站。以已知高程点a作后视,在其上立尺,在施测路线的前进方向上选择适当位置为第一个立尺点(转点1)作为前视点,在转点1处放置尺垫,立尺(前视尺)。将水准仪安置在前后视距大致相等的位置(常用步测),读数a1,记录;再转动望远镜瞄前尺读数b1,并记录 2)计算高差。h1=后视读数一前视读数=a1-b1,将结果记入高差栏中。然后将仪器迁至第二站,第一站的前视尺不动变为第二站的后视尺,第一站的后视尺移到转点2上,变为第二站的前视尺,按与第一站相同的方法进行观测、记录、计算。按以上程序依选定的水准路线方向继续施测,直至回到起始水准点bm1为止,完成最后一个测站的观测记录。

2020立体构成实验报告模板(完整版)

报告编号:YT-FS-5891-23 2020立体构成实验报告 模板(完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

2020立体构成实验报告模板(完整 版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 立体构成的构成要素: 1、点的特征; 点型是形态中最初的元素,也是形态世界最小的表现极限,它在空间中呈飘浮状态,有长短,宽窄及运动方向,它是由各元素相互对应,相互比较而特定的,如随着点与块的缩小与扩大,它们之间互相的转换,对形态上造型语言的不同会在心理上产生不同的感受,如角状点型,有强烈的冲击力,曲状点型则有柔和的飘浮感。其表现形式无限多,或方或圆或角或其他任何形状,还可有实心与空心的变化。 2、线的特征: 线存在于点的移动轨迹,面的边界以及面与面的

交界或面的断、切、截取处,具有丰富的形状和形态,并能形成强烈的运动感。线从形态上可分为直线(平线,重直线,斜线和折线等)和曲线(孤线,螺旋线,抛物线,双曲线及自由线)两大表。 a、直线垂直线斜线的 b、曲线 几何曲线能表达饱满,有弹性、严谨,理智,明确的现代感觉,同时也有机械的冷漠感,自由曲线是一种自然的、优美的、跳跃的线型,能表达丰阔、圆阔、柔和、富有人情味的感觉,同时也有强烈的活动感和流动感,例如大自然中闪电形成的自由曲线。 3、面的特征: 面作为构成空间的基础之一具有强烈的方向感,面的不同组合方式可以构成千变万化的空间形态。面在空间形态上可分为平面和曲面两种形态,平面有规律平面和不规律平面,曲面有规律曲面和不规律曲面。圆形总是封闭的,具有饱满的,肯定的和统一的效果,能表现流动、运动、和谐、柔美的感觉不规则面的基本形是指一些毫无规律的自由形态。

计组-4位乘法器实验报告

实验4位乘法器实验报告 姓名:X XX 学号:X XX 专业:计算机科学与技术课程名称:计算机组成同组学生姓名:无 实验时间:实验地点:指导老师:XXX 一、实验目的和要求 1.熟练掌握乘法器的工作原理和逻辑功能 二、实验内容和原理 实验内容: 根据课本上例3-7的原理,来实现4位移位乘法器的设计。 具体要求:1. 乘数和被乘数都是4位 2. 生成的乘积是8位的 3. 计算中涉及的所有数都是无符号数 4.需要设计重置功能 5.需要分步计算出结果(4位乘数的运算,需要四步算出结果) 实验原理: 1.乘法器原理图

2.本实验的要求: 1.需要设计按钮和相应开关,来增加乘数和被乘数 2.每按一下M13,给一个时钟,数码管的左边两位显示每一步的乘 积 3.4步计算出最终结果后,LED灯亮,按RESET重新开始计算 三、主要仪器设备 1.Spartan-III开发板1套 2.装有ISE的PC机1台 四、操作方法与实验步骤 实验步骤: 1.创建新的工程和新的源文件 2.编写verilog代码(top模块、display模块、乘法运算模块、去抖动模块以及 UCF引脚) 3.进行编译 4.进行Debug 工作,通过编译。

5.. 生成FPGA代码,下载到实验板上并调试,看是否与实现了预期功能 操作方法: TOP: module alu_top(clk, switch, o_seg, o_sel); input wire clk; input wire[4:0] switch; output wire [7:0] o_seg; // 只需七段显示数字,不用小数点 output wire [3:0] o_sel; // 4个数码管的位选 wire[15:0] disp_num; reg [15:0] i_r, i_s; wire [15:0] disp_code; wire o_zf; //zero detector initial begin i_r <= 16'h1122; //0x1122 i_s <= 16'h3344; //0x3344 end alu M1(i_r, i_s, switch[4:2], o_zf, disp_code); display M3(clk, disp_num, o_seg, o_sel); assign disp_num = switch[0]?disp_code:(switch[1] ? i_s : i_r); endmodule

实验报告格式模板

实验报告格式模板 实验报告的书写是一项重要的基本技能训练。它不仅是对每次实验的总结,更重要的是它可以初步地培养和训练学生的逻辑归纳能力、综合分析能力和文字 表达能力,是科学论文写作的基础。因此,参加实验的每位学生,均应及时认真地书写实验报告。要求内容实事求是,分析全面具体,文字简练通顺,誊写清楚整洁。 实验报告内容与格式 (一)实验名称 要用最简练的语言反映实验的内容。如验证某程序、定律、算法,可写成“验证XXX” ;分析XXX。 (二)所属课程名称 (三)学生姓名、学号、及合作者 (四)实验日期和地点(年、月、日) (五)实验目的 目的要明确,在理论上验证定理、公式、算法,并使实验者获得深刻和系统的理解,在实践上,掌握使用实验设备的技能技巧和程序的调试方法。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 (六)实验内容 这是实验报告极其重要的内容。要抓住重点,可以从理论和实践两个方面考虑。这部分要写明依据何种原理、定律算法、或操作方法进行实验。详细理论计算过程? (七)实验环境 实验用的软硬件环境(配置)。 (八)实验步骤 只写主要操作步骤,不要照抄实习指导,要简明扼要。还应该画出实验流程图(实验装置的结构示意图),再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。

(九)实验结果 实验现象的描述,实验数据的处理等。原始资料应附在本次实验主要操作者的实验报告上,同组的合作者要复制原始资料。 对于实验结果的表述,一般有三种方法: 1.文字叙述:根据实验目的将原始资料系统化、条理化,用准确的专业术语客观地描述实验现象和结果,要有时间顺序以及各项指标在时间上的关系。 2.图表:用表格或坐标图的方式使实验结果突出、清晰,便于相互比较, 尤其适合于分组较多,且各组观察指标一致的实验,使组间异同一目了然。每一图表应有表目和计量单位,应说明一定的中心问题。 3.曲线图应用记录仪器描记出的曲线图,这些指标的变化趋势形象生动、直观明了。 在实验报告中,可任选其中一种或几种方法并用,以获得最佳效果。 (十)讨论 根据相关的理论知识对所得到的实验结果进行解释和分析。如果所得到的实验结果和预期的结果一致,那么它可以验证什么理论?实验结果有什么意义?说明了什么问题?这些是实验报告应该讨论的。但是,不能用已知的理论或生活经验硬套在实验结果上;更不能由于所得到的实验结果与预期的结果或理论不符而随意取舍甚至修改实验结果,这时应该分析其异常的可能原因。如果本次实验失败了,应找出失败的原因及以后实验应注意的事项。不要简单地复述课本上的 理论而缺乏自己主动思考的内容。 另外,也可以写一些本次实验的心得以及提出一些问题或建议等。 (十-)结论 结论不是具体实验结果的再次罗列,也不是对今后研究的展望,而是针对这一实验所能验证的概念、原则或理论的简明总结,是从实验结果中归纳出的一般性、概括性的判断,要简练、准确、严谨、客观。 (十二)鸣谢(可略) 在实验中受到他人的帮助,在报告中以简单语言感谢. (十三)参考资料 详细列举在实验中所用到的参考资料. 格式: 作者年代书名及页数出版社

测量学实验报告文档

测量学实验报告文档 Survey experiment report document 编订:JinTai College

测量学实验报告文档 小泰温馨提示:实验报告是把实验的目的、方法、过程、结果等记录下来,经过整理,写成的书面汇报。本文档根据实验报告内容要求展开说明,具有实践指导意义,便于学习和使用,本文下载后内容可随意修改调整及打印。 测量学(又名测地学)涉及人类生存空间,及通过把空间区域列入统计(列入卡片索引),测设定线和监控来对此进行测定。它的任务从地形和地球万有引力场确定到卫土地测量学(不动产土地),土地财产证明,土地空间新规定和城市发展。 一、实验目的;由于测量学是一门实践性很强的学科,而测量实验对培养学生思维和动手能力、掌握具体工作程序和内容起着相当重要的作用。实习目的与要求是熟练掌握常用测量仪器(水准仪、经纬仪)的使用,认识并了解现代测量仪器的用途与功能。在该实验中要注意使每个学生都能参加各项工作的练习,注意培养学生独立工作的能力,加强劳动观点、集体主义和爱护仪器的教育,使学生得到比较全面的锻炼和提高. 测量实习是测量学理论教学和实验教学之后的一门独立的实践性教学课程,目的在于:

1、进一步巩固和加深测量基本理论和技术方法的理解和 掌握,并使之系统化、整体化; 2、通过实习的全过程,提高使用测绘仪器的操作能力、 测量计算能力.掌握测量基本技术工作的原则和步骤; 3.在各个实践性环节培养应用测量基本理论综合分析问 题和解决问题的能力,训练严谨的科学态度和工作作风。 步骤简要: 1)拟定施测路线。选一已知水准点作为高程起始点,记 为a,选择有一定长度、一定高差的路线作为施测路线。然后 开始施测第一站。以已知高程点a作后视,在其上立尺,在施测路线的前进方向上选择适当位置为第一个立尺点(转点1)作为前视点,在转点1处放置尺垫,立尺(前视尺)。将水准仪安置在前后视距大致相等的位置(常用步测),读数 a1,记录;再转动望远镜瞄前尺读数b1,并记录 2)计算高差。h1=后视读数一前视读数=a1-b1,将结果 记入高差栏中。然后将仪器迁至第二站,第一站的前视尺不动变为第二站的后视尺,第一站的后视尺移到转点2上,变为第二站的前视尺,按与第一站相同的方法进行观测、记录、计算。

计组实验二实验报告-80868088指令系统

HUNAN UNIVERSITY 课程实习报告 题目: 8086/8088指令系统 学生姓名 学生学号 专业班级计算机科学与技术2班 指导老师 完成日期2013年4月21日

一、实验目的 利用debug工具的e和u功能找出8086/8088指令系统的指令格式中各种操作吗编码对应的指令功能,得到8086/8088操作吗从00~FF所对应的的汇编指令的名字。并加以分析总结,形成你的关于8086/8088指令系统操作码编码方法的实验报告。 二、实验过程 1、编写C++程序,生成debug的输入文件(shuru.txt) 2、将shuru.txt复制到debug的根目录下,在debug界面输入如下指令“debug out.txt”,在debug的根目录下,生成了输出文本文件out.txt。 打开out.txt,对256条记录进行整理,如下所示。 3、固定前两位为00,将第二字节从00变为ff,观察汇编指令变化。编写C++程序如下: 4、将shuru2.txt复制到debug的根目录下,在debug界面输入如下指令“debug out2.txt”,在debug的根目录下,生成了输出文本文件out2.txt。

打开out2.txt,对256条记录进行分析。 三、256条记录 指令码汇编指令 ------------------------------------------------------------- 0B76:0100 0000 ADD [BX+SI],AL 0B76:0100 0100 ADD [BX+SI],AX 0B76:0100 0200 ADD AL,[BX+SI] 0B76:0100 0300 ADD AX,[BX+SI] 0B76:0100 0400 ADD AL,00 0B76:0100 050000 ADD AX,0000 0B76:0100 06 PUSH ES 0B76:0100 07 POP ES 0B76:0100 0800 OR [BX+SI],AL 0B76:0100 0900 OR [BX+SI],AX 0B76:0100 0A00 OR AL,[BX+SI] 0B76:0100 0B00 OR AX,[BX+SI] 0B76:0100 0C00 OR AL,00 0B76:0100 0D0000 OR AX,0000 0B76:0100 0E PUSH CS 0B76:0100 0F DB 0F 0B76:0100 1000 ADC [BX+SI],AL 0B76:0100 1100 ADC [BX+SI],AX 0B76:0100 1200 ADC AL,[BX+SI] 0B76:0100 1300 ADC AX,[BX+SI] 0B76:0100 1400 ADC AL,00 0B76:0100 150000 ADC AX,0000 0B76:0100 16 PUSH SS 0B76:0100 17 POP SS 0B76:0100 1800 SBB [BX+SI],AL 0B76:0100 1900 SBB [BX+SI],AX 0B76:0100 1A00 SBB AL,[BX+SI] 0B76:0100 1B00 SBB AX,[BX+SI] 0B76:0100 1C00 SBB AL,00 0B76:0100 1D0000 SBB AX,0000 0B76:0100 1E PUSH DS 0B76:0100 1F POP DS 0B76:0100 2000 AND [BX+SI],AL 0B76:0100 2100 AND [BX+SI],AX 0B76:0100 2200 AND AL,[BX+SI] 0B76:0100 2300 AND AX,[BX+SI] 0B76:0100 2400 AND AL,00 0B76:0100 250000 AND AX,0000

测量学实验报告

测量学C实验 指导书 班级: 学号: 组别: 姓名:

实验须知 实验是配合课堂教学的一个重要教学环节,同时也是培养学生掌握实验的基本技能和进行基本训练的一个主要手段,为了保证实验的顺利进行,必须注意下列事项: 1、实验之前,希望同学们要预习实验指导书,了解本次实验的目的,原理和要求: 2、严格按操作步骤认真操作,实验报告要客观、详细记录实验步骤,实验成果等。 3、爱护实验仪器,非本次实验用的仪器或虽是本次实验所用的仪器,但在老师没有讲解之前都不得随便乱动,以免损坏仪器; 4、实验中不慎损坏仪器或丢失仪器中的附件,均应主动地告诉老师,按照有关规定处理;

目录 实验一水准仪的使用 (1) 实验二经纬仪的使用 (5) 实验三碎部测量 (12)

实验一水准仪的使用 (1)水准仪的使用 一、目的 1、了解DS3级水准仪的构造及各部分的名称和作用 2、掌握水准仪使用的基本操作 3、练习水准尺读数 二、要求 实验学时安排为2学时,每人安置2~3次水准仪,读尺4~5次。 三、仪器及工具 每组:水准仪一台、水准尺一把、记录板一块。 四、预习内容 水准测量的仪器及工具,水准仪的使用 五、实验步骤 1、安置水准仪:测量仪器所安置的地点称为测站。打开三脚架,使其高度适中,架头大致水平,牢固地架设在地面上。然后打开仪器箱(记清仪器各部件位置,以便装箱时按原来位置放置),双手握基座取出仪器,放在三脚架上,用连接螺旋将水准仪固连在三脚架上。用手推一下仪器,检查仪器是否真正连接牢固。 2、熟悉仪器:认识水准仪构造及各部分的名称、作用。 3、粗略整平: (1)置圆气泡于两脚螺旋之间(或于一脚螺旋上方),转动这两个脚螺旋使圆气泡在这两脚螺旋方向居中(气泡移动方向与左手大姆指旋转方向一致)。 (2)转动第三个脚螺旋使圆气泡居中,反复练习几次。 4、瞄准对光: (1)将望远镜对向明亮的背景(白墙或白纸),转动目镜对光螺旋使十字丝看得非常清晰。 (2)松开制动螺旋,用镜筒上的准星瞄准水准尺(立水准尺在离水准仪约30米处),拧紧制动螺旋。 (3)转动物镜对光螺旋,使水准尺的像十分清晰,然后眼睛在目镜上下作微小移动,观察水准尺与十字丝面是否有相对移动。若有,则存在视差,为此,可反复调节对光螺旋,直到视差消除为止。 (4)旋转微动螺旋,使水准尺的象靠近十字丝的纵丝。

计组实验报告.

武汉大学计算机学院计算机科学与技术专业 CPU设计实验报告 实验名称:开放式实验CPU设计课题名称: 计算机组成原理 班级: 指导教师:徐爱萍 组长: 组员: 二零一五年三月

目录 目录 (1) 1 实验环境 (2) 1.1 Quartus Ⅱ介绍 (2) 1.2 硬件描述语言(VHDL) (3) 1.3实验的主要成果 (3) 2 实验要求 (5) 2. 1 指令格式要求 (5) 2. 2 指令流程及微信号序列分析 (6) 2.2.1 ADD指令分析 (6) 2.2.2 ADC指令分析 (7) 2.2.3 SUB指令分析 (7) 2.2.4 SBC指令分析 (7) 2.2.5 INC指令分析 (7) 2.2.6 DEC指令分析 (8) 2.2.7 SHL指令分析 (8) 2.2.8 SHR指令分析 (8) 2.2.9 MOVR指令分析 (8) 2.2.10 MOVD指令分析 (9) 2.2.11 LDRR指令分析 (9) 2.2.12 STRR指令分析 (10) 2.2.13 JMP指令分析 (10) 2.2.14 JRC指令分析 (11) 2.2.15 JRZ指令分析 (11) 2.2.16 JRS指令分析 (11) 2.2.17 CLC指令分析 (11) 2.2.18 STC指令分析 (11) 3.部件仿真实验 (11) 3.1 八个通用寄存器设计与仿真 (11) 3.1.1 设计代码 (11) 3.1.2 RTL连接图 (17) 3.1.3 仿真过程 (17) 3.2算术逻辑单元设计与仿真 (18) 3.2.1 设计代码 (18) 3.2.2 RTL连接图 (21) 3.2.3 仿真过程 (22) 4. CPU设计 (23) 4.1取指设计 (23) 4.2指令译码的设计 (25) 4.3执行部分设计 (28) 4.4存储器部分设计 (31) 4.5通用寄存器组设计 (32)

实验报告通用模板文档

2020 实验报告通用模板文档Contract Template

实验报告通用模板文档 前言语料:温馨提醒,报告一般是指适用于下级向上级机关汇报工作,反映情况,答复上级机关的询问。按性质的不同,报告可划分为:综合报告和专题报告;按行文的直接目的不同,可将报告划分为:呈报性报告和呈转性报告。体会指的是接触一件事、一篇文章、或者其他什么东西之后,对你接触的事物产生的一些内心的想法和自己的理解 本文内容如下:【下载该文档后使用Word打开】 实验报告是把实验的目的、方法、过程、结果等记录下来,经过整理,写成的书面汇报。以下是整理的实验报告通用模板,欢迎阅读! 心理学实验报告 1.教学目的测定各种彩色视野的范围以及盲点的位置,学习使用视野计 2.实验程序 2―1准备工作。 2―1―1准备好视野图纸、彩色铅笔(红、黄、蓝、绿)、单眼罩。把视野图纸放在视野计视野计 上相应的地方,学习在图纸上作记录的方法。 记录时与被试反应的左右、上下方位相反。 2―1―2被试用右眼罩招右眼遮起来(只测左眼),把下巴放在支架上,调好距离。眼睛与支架

靠近后,保持头部位置不变。被试用左眼注视正前方的白光点。要求被试发现视野中彩色出现或 消失就报告,被试视线要始终注视视野弧正中的白点,要求只用眼睛的余光去看彩色光点是否出 现或消失。 2―l―3测定过程中,视野弧的位置可分别为900、450、1350和1800等不同角度。 2―2正式实验。 2―2―I主试将视野计弧轨故到水平位置上.把一个红色刺激点投在弧轨右边靠近注视点处, 主试将红色刺激由内慢慢向外移动,直到被试看不到红色为止,把这时红色刺激所在位置记下来, 然后主试再把红色刺激从员外例向注视点移动到被试刚刚看到红色为止,记下刺激所在位置的角 度,取两次的平均致,在视野图纸上图点。还有一点应注意,当进行右边实验时红色刺激由内向 外或由外向内时,会出现红色突然消失和再现的现象,红色突然消失和再现的位置就是盲点的位 置,将盲点位置也记录在图纸上。 2―2―2再把视野弧轨放到下列位置测定红色视野的范围:900、450、1350(与水平交角)以及 其他不同角度。 2―2―3按上述测红色视野的程序分别测定黄、绿、蓝、白

计算机组成原理实验报告二

实验二存储器实验 一、实验目的 熟悉和了解存储器组织与总线组成的数据通路。 二、实验原理 存储器是计算机的存储部件,用于存放程序和数据。存储器是计算机信息存储的核心,是计算机必不可少的部件之一,计算机就是按存放在存储器中的程序自动有序不间断地进行工作。本系统从提高存储器存储信息效率的角度设计数据通路,按现代计算机中最为典型的分段存储理念把存储器组织划分为程序段、数据段等,由此派生了数据总线(DBUS)、指令总线(IBUS)、微总线( BUS)等与现代计算机设计规范相吻合的实验环境。该存储器组织由二片6116构成具有奇偶概念的十六位信息存储体系,该存储体系AddBus由PC指针和AR 指针分时提供,E/M控位为“0”时选通PC,反之选通AR。该存储体系可随机定义总线宽度,动态变更总线结构,把我们的教学实验提高到能与现代计算机设计规范相匹配与接轨的层面。 连线信号孔接入孔作用有效电平 1 DRCK CLOCK 单元手动实验状态的时钟来源下降沿打入 2 W K6(M6) 总线字长:1=16位字操作,0=8位字节操作 3 XP K7(M7) 源部件奇偶标志:1=偶寻址,0=奇寻址 4 X2 K10(M10) 源部件定义译码端X2 三八译码 八中选一5 X1 K9(M9) 源部件定义译码端X1

四、实验过程 1. 存储器数据段读写操作 (1) 数据段写操作(字) 在进行数据存储器字操作时,地址线A0必须为0(偶地址)。向数据段的0000~0005h 存储单元写入11 22 33 44 55 66一串数据,以0000h 地址单元写入数据1122h 为例表述操作流程。 置地址I/O=0000h AR 地址写入(0000h)置数据I/O=1122h 存储器写入(1122h)关存储器写X2 X1 X0=011XP W=11 LDAR(K17)=0 MWR(K21)=1按[单拍] E/M(K23)=1LDAR(K17)=1按[单拍] MWR(K21)=0 (2) 数据段读操作(字) 依次读出数据段0~0005h 单元的内容,这里以0000h 地址单元读出为例阐述操作流程。 置地址I/O=0000h AR 地址写入(0000h)关AR 写使能存储器读出(1122h)数据总线显示存储器值 X2 X1 X0=011XP W=11 LDAR(K17)=0 E/M(K23)=1LDAR(K17)=1按 [单拍] X2 X1 X0=100W=1 2. 存储器程序段读写操作 (1) 程序段字节写操作 计算机规范的取指操作均以字节为单位。所以本实验以字节操作方式展开。程序段写入必须从定义地址入手,然后再进入程序存储器的写入。 PC 指针是带预置加法计数器,因此在输入起始地址后一旦后续地址为PC+1的话就不需重装PC ,用PC+1指令完成下续地址的读写操作。 PC 地址装载写入与PC+1写入流程 置地址I/O=0000h PC 地址写入(0000h)I/O=1234h 12h →[PC]地址增量PC+1关存储器写X2 X1 X0=011XP W=11 E/M(K23)=0LDPC(K22)=0MWR(K21)=1W=0,按[单拍] LDPC(K22)=1MWR(K21)=0 E/M(K23)=1LDPC(K22)=1按[单拍] LDPC(K22)=0MWR(K21)=1XP=0,按[单拍] (2) 程序段字节读操作 PC 地址装载读出及PC+1读出流程 X2 X1 X0=011XP W=11 E/M(K23)=0LDPC(K22)=0X2 X1 X0=100XP=1, W=0 LDPC(K22)=1按[单拍] E/M(K23)=1LDPC(K22)=1按[单拍] 按[单拍] 五、结果分析

计组实验报告--部分

2、设计报告 2、1实验方法 本实验要完成的工作主要包括: 1、指令系统的设计 2、利用VHDL语言完成实验CPU的设计,包括通用寄存器的设计、取值部分设计、指令译 码设计、执行设计、存储器设计、程序包设计和顶层设计设计 3、在Quatus II 平台上进行仿真,并下载到TEC-CA教学实验箱上进行调试。 这三大部分为并行关系,只有在完成上一部分的基础上才能继续进行下一步,而第二大部分可以同时并行进行。 实验的主要流程图为图2.1所示。 图2.1

在指令系统和CPU逻辑设计时,主要的方法是先根据老师给的指令要求,确定CPU所要实现的功能,根据寄存器等的情况划分指令格式,然后根据功能写出指令,根据不同指令的特点将它们分组并确定操作码;接下来设想每条指令的执行过程,需要哪些硬件支持,最后确定整个CPU的逻辑结构图。 2、2总体说明 2.2.1 CPU组成部件 实验CPU由5部分组成:取指部分instru_fetch、指令译码部分decoder_unit、执行部分exe_unit、存储器部分memory_unit和通用寄存器组fegile.另外,还有一个程序包exe_cpu_components,将各底层设计实体作为元件存储,供各设计实体使用。顶层设计实体exe_cpu完成5个组成部分的链接。 GR(8位,4个寄存器),ALU(8位),时序节拍发生器timer,AR(8位),IR(8位),PC(8位)、PC(8位),RAM(8位),组合期间T1,T2,T3。逻辑控制器件controller,地址总线(8位),数据总线(8位)。 2、2、2整机原理实验图

图2.2.1 图2.2.2

测量学实验报告范本

测量学实验报告 Record the situati on and less ons lear ned, find out the exist ing p roblems and form future coun termeasures. 名: 位: 间:

编号:FS-DY-20114 测量学实验报告 i说明:本报告资料适用于记录基本情况、过程中取得的经验教训、发现存在的问题 I I i以及形成今后的应对措施。文档可直接下载或修改,使用时请详细阅读内容。 I ! ____________________________________________________________________________ 测量学实验报告 测量学(又名测地学)涉及人类生存空间,及通过把空 间区域列入统计(列入卡片索引),测设定线和监控来对此进行测定。它的任务从地形和地球万有引力场确定到卫土地测量学(不动产土地),土地财产证明,土地空间新规定和城市发展。 、实验目的;由于测量学是一门实践性很强的学科,而 测量实验对培养学生思维和动手能力、掌握具体工作程序和内容起着相当重要的作用。实习目的与要求是熟练掌握常用测量仪器(水准仪、经纬仪)的使用,认识并了解现代测量仪器的用途与功能。在该实验中要注意使每个学生都能参加各项工作的练习,注意培养学生独立工作的能力,加强劳动观点、集体主义和爱护仪器的教育,使学生得到比较全面的锻炼和提高.

测量实习是测量学理论教学和实验教学之后的一门独 立的实践性教学课程,目的在于: 1、进一步巩固和加深测量基本理论和技术方法的理解 和掌握,并使之系统化、整体化; 2、通过实习的全过程,提高使用测绘仪器的操作能力、 测量计算能力.掌握测量基本技术工作的原则和步骤; 3.在各个实践性环节培养应用测量基本理论综合分析问 题和解决问题的能力,训练严谨的科学态度和工作作风。 、实验内容 步骤简要:1)拟定施测路线。选一已知水准点作为高程 起始点,记为a,选择有一定长度、一定高差的路线作为施 测路线。然后开始施测第一站。以已知高程点a作后视,在其上立尺,在施测路线的前进方向上选择适当位置为第一个立尺点(转点1)作为前视点,在转点1处放置尺垫,立尺 (前视尺)。将水准仪安置在前后视距大致相等的位置(常用 步测),读数a1,记录;再转动望远镜瞄前尺读数b1,并记2)计算高差。h1=后视读数一前视读数=a1-b1,将结果记

计算机组成原理实验报告模板

专业:计算机科学与技术班级: 学号: 姓名: 电话: 邮件: 完成日期:20xx 计算机组成原理·实验报告· 计算机科学与技术学院

目录 1数据表示实验 (3) 1.1设计要求 (3) 1.2方案设计 (4) 1.3实验步骤 (5) 1.4故障与调试 (5) 1.5测试与分析 (6) 2运算器实验 (8) 2.1设计要求 (8) 2.2方案设计 (9) 2.3实验步骤 (10) 2.4故障与调试 (10) 2.5测试与分析 (11) 3存储器实验 (13) 3.1设计要求 (13) 3.2方案设计 (14) 3.3实验步骤 (15) 3.4故障与调试 (15) 3.5测试与分析 (16) 4CPU实验 (18) 4.1设计要求 (18) 4.2方案设计 (19) 4.3实验步骤 (20) 4.4故障与调试 (20) 4.5测试与分析 (21)

5总结与心得 (23) 5.1实验总结 (23) 5.2实验心得 (23) 参考文献 (24)

1 数据表示实验 实验报告选择两次实验撰写,其中 CPU设计实验报告内容全体都要写(重点) 1-4班另加数据表示实验 5-6班ACM 卓越物联网另加运算器实验 7-10班另加存储系统实验 请仔细阅读所有的批注,阅读理解后删除批注 模板各个标题下面的内容仅是举例,作者应依照自己思想重写该部分内容 1.1 设计要求 利用logisim平台中现有运算部件构建一个32位运算器,可支持算数加、减、乘、除,逻辑与、或、非、异或运算、逻辑左移、逻辑右移,算术右移运算,支持常用程序状态标志(有符号溢出OF、无符号溢出CF,结果相等Equal),运算器功能以及输入输出引脚见下表,在主电路中详细测试自己封装的运算器。 表 1.1 片引脚与功能描述 引脚输入/输出位宽功能描述 X输入32操作数X Y输入32操作数Y ALU_OP输入4运算器功能码,具体功能见下表 Result输出32ALU运算结果 Result2输出32ALU结果第二部分,用于乘法指令结果高 位或除法指令的余数位,其他操作为零 OF输出1有符号加减溢出标记,其他操作为零 CF输出1无符号加减溢出标记,其他操作为零 Equal输出1Equal=(x==y)?1:0, 对所有操作有效

相关文档
最新文档