基于FPGA八路电子抢答器设计(1)

基于FPGA八路电子抢答器设计(1)
基于FPGA八路电子抢答器设计(1)

基于FPGA八路电子抢答器设计

学生:吴知龙

学号:12021104

班级:12电科二班

学院:电子工程与电气自动化

日期:2014.12.14

基于FPGA八路电子抢答器设计

摘要:本文基于八路电子抢答器基本原理,充分利用Altera公司的FPGA芯片CycloneⅡEP2C5T144在软件Max+plus II10.0和硬件实验箱(ZY11EDA13BE)的平台上完成了八路电子抢答器的设计。设计中充分对八路电子抢答器每个模块的特点进行了分析和优化,节省系统资源。设计完成时在实验箱平台进行验证,对8个输入抢答信号进行锁存,并且无法进行下一次抢答,将抢答信号输出,用数码管进行显示等。

关键词:抢答器现场可编程逻辑门阵列

Abstrsct :In this paper, based on the basic principle of eight-channel electronic buzzer, make full use of the Cyclone FPGA chip of Altera company ⅡEP2C5T144 in Max + plus II10.0 software and hardware experiment box (ZY11EDA13BE) platforms completed eight-way digital vies to answer first device design. Fully to eight-way electronic buzzer in the design of the characteristics of each module are analyzed and optimized, save system resources. Design is finished in experiment box platform for validation, to 8 vies to answer first input signal is latched, and could not be next time vies to answer first, vies to answer first signal output, using digital tube display, etc.

Keyworld: responder FPGA

1 引言

1.1 EDA 概述

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

1.2 VHDL 概述

VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体分成外部和内部,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。

2 设计要求

八路电子抢答器是为竞赛抢答而设计的一种优先判决器,竞赛者可以分为若干组,抢答时各组对主持人提出的问题做出判断,并按下抢答按键回答问题,我们称之为抢答器。

抢答器应用非常广泛,可以涉及很多方面,例如,通信方面,可以对多种信号进行优先判决,从而做出相应的工作,并且其他信号不予干扰。航空航天方面,可以对多路信号进行锁存和判决,从而达到应用的效果。

本文所设计的八路电子抢答器,是利用Altera公司的FPGA芯片CycloneⅡ

EP2C5T144在软件Max+plus II10.0和硬件实验箱(ZY11EDA13BE)的平台,满足对8个抢答信号的其中一个进行锁存,再通过数码管进行显示相应数字。由主持人进行复位清零,再进行下一轮抢答。该控制器由抢答器、编码器、译码器、8位的与门四部分组成。

3 设计方案

3.1 抢答器原理

抢答器由8个发射信号器、1个接收器、一个控制器组成,可用于8组或8组以下的智力竞赛中。设计一个八路抢答器,用VHDL编写程序,能够使8个人同时进行抢答类型的游戏,可同时共8名选手或8个组同时参加比赛,他们编号分别为1,2,3,4,5,6,7,8各用一个抢答器按钮,按钮的编号和选手编号相对应,依次为a0,a1,a2,a3,a4,a5,a6,a7。比赛前,将参赛组从1至8编号,每组发给对应的一个发射器。将接收器放于各组中央或前方。主持人按一下控制器(该键用于启动抢答和每次抢答后器件清零的作用)后,抢答开始。此后,哪一组最先按下发射器上的抢答键,接收器就立即显示该组的组号并锁定,同时发出蜂鸣声,提示抢答已有结果并结束抢答。以后,按下任何一路抢答键均不起反映。只有主持人再次按动启动键后,才能进行下一次抢答。该控制器由抢答器、编码器、译码器、8位的与门四部分组成。

根据功能要求,须设计有抢答电路、编码电路、译码电路、主持人控制电路,各个电路都有其自己的功能。通过复位按键RST,电路进入就绪状态,等待抢答。然后再由主持人发布抢答命令进入抢答状态。在电路中“1-8”为8路抢答器的8个按键,如果有人按下按键,程序就会判断是谁先按下的,然后输出抢答者号码的七段码值,并封锁键盘,保持刚才按键按下时刻的时间,禁止其他人按键的输入,从而实现了抢答的功能。当要进行下一次的抢答时,由主持人先按一下复位按键RST,电路复位,进入下一次抢答的就绪状态。该电路的组成如图3.1.1:

按钮

低电平有效1组

2组

3组

4组

5组

6组

7组

8组

提示音(蜂鸣器)

输出(7段译码器)复位

图3.1.1电路组成

基于上述原理,这里给出八路抢答器系统工作原理框图(图3.1.2):

开关

蜂鸣器解锁器

数码管图3.1.2工作原理图

3.2 模块分析

(1)抢答输入开关电路

该电路由8个开关按键组成,每一个选手与一个开关对应。开关为常开型,即当按下开关时,开关自动的弹开断开,此时输入抢答信号自动变为高电平;当按下抢答开关时,开关闭合,输入抢答信号为低电平。

(2)锁存器

当只要有一个且为任意一个抢答输入信号产生时,触发器电路被触发,在输出端产生相应的开关电平信息,同时为避免之后的抢答开关按钮也按下产生错乱,最先产生的输出电平变化又反馈回来将触发器锁定住,并保持输出的电平信息。这样就避免了抢答先后发生紊乱不清楚的现象发生。

(3)编码器

编码器的作用是将开关信息转化为8421BCD码,以提供数字显示电路所需要的编码输入,低电平有效。将数字1-8进行编码,转化为8421BCD码。

(4)译码器

译码器的作用是将编码器输出的8421BCD码转化为数码管需要的逻辑状态,译码器由七段共阴二极管组成,高位在左,低位在右,如当输入译码器的信号为“1101101”时,数码管的七个段g,f,e,d,c,b,a分别接1,1,0,1,1,0,1,接有高电平的段亮,于是数码管显示为“5”。

(5) 解锁器

当触发锁存电路被锁存后,若要进行下一轮的重新抢答,则需要将锁存器解锁,可通过强迫是复位控制置为1,使锁存处于等待锁存状态,以准备进行下一轮的抢答。

(6) 数码显示器与喇叭

数码显示管有发光的共阴二极管(LED)数码管,数码管显示相应的数字1-8,喇叭为高电平触发,通过RST复位键控制,RST复位键按下,喇叭触发。

3.3 系统整体构架

图3.3.1系统整体构架

如图3.3.1 所示为抢答器的系统框图,可以看到G[0]~G[7]为8组选手的输入抢答按钮,RST为复位控制信号,B[1]~B[8]是传输到编码器的中间信号,

并由译码器输出对应的数码管编号。

该系统描述的功能是当所有开关输入信号G[0]~G[7]均未按下,锁存器输出全为高电平,经过8个输入的与门反馈信号仍为高点平,该信号作为锁存器时能端控制信号即en,使锁存器出浴等待接收触发输入状态;当任一开关输入信号G[0]~G[7]中的任一开关按下时,输出信号中必然会有一路为低电平,则反馈信号发生与门后变成低电平,时能信号EN也为成为低电平后,EN传给锁存器则立即使锁存器接受到的开关被封锁,这时其他抢答者信息的输入将被封锁,不能再传输到锁存器中。由此可见,触发锁存器电路具有时序电路的特征,是实现抢答器功能的关键所在。RST为复位控制信号,也是低电平有效,当主持人复位后即提示抢答开始时,编码器的B[1..8]全为高电平,反馈时能信号与门为高电平,是锁存器处于等待抢答输入信号的状态。输入的信号一旦锁定后,译码器的

B[3..0]信号的输入到7段译码器中,7段译码器含有7段共阴极二极管,对输入的LED[0]~LED[7]进行验证,led数码管对应显示出最先抢答者的编号,并且蜂鸣器发出响声。

3.4 各子模块的设计与仿真

(1) 锁存器模块与仿真波形

1 锁存器VHDL源程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY suocunqi IS

PORT(A1,A2,A3,A4,A5,A6,A7,A8:IN STD_LOGIC;

B1,B2,B3,B4,B5,B6,B7,B8: OUT STD_LOGIC;

EN,RST:IN STD_LOGIC);

END suocunqi;

ARCHITECTURE ONE OF suocunqi IS

BEGIN

PROCESS(EN,RST,A1,A2,A3,A4,A5,A6,A7,A8)

BEGIN

IF RST='0' THEN

B1<='1'; B2<='1';

B3<='1'; B4<='1';

B5<='1';B6<='1';

B7<='1';B8<='1';

ELSIF EN='1' THEN

B1<=A1; B2<=A2;

B3<=A3; B4<=A4;

B5<=A5; B6<=A6;

B7<=A7; B8<=A8;

END IF;

END PROCESS;

END ONE;

2 锁存器波形仿真图

图3.4.1锁存器仿真图

锁存器波形分析:

从波形图3.4.1可以得出当复位信号RST复位后即为高电平时,抢答开始,当一旦有抢答输入信号时。使能信号EN立即变为低电平,即锁存发生,此时再有抢答信号输入已经不发生作用。如图抢答开始,最先抢答的是A1,此时蜂鸣器LA变为高电平响起,时能EN置为0,锁存开始,之后的抢答无用了,在之后的RST=1那段,输出B="01111111",同理第二个RST=1段是B="10111111".

3 锁存器的封装模块图

图3.4.2锁存器封装模块

(2)编码器模块与仿真波形

1 编码器VHDL源程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY bianmaqi IS

PORT(A1,A2,A3,A4,A5,A6,A7,A8:IN STD_LOGIC;

B:OUT INTEGER RANGE 1 TO 8);

END bianmaqi;

ARCHITECTURE ABC OF bianmaqi IS

SIGNAL A: STD_LOGIC_VECTOR(1 TO 8);

BEGIN

A<=A1&A2&A3&A4&A5&A6&A7&A8;

PROCESS(A)

BEGIN

CASE A IS

WHEN"11111110"=>B<=1;

WHEN"11111101"=>B<=2;

WHEN"11111011"=>B<=3;

WHEN"11110111"=>B<=4;

WHEN"11101111"=>B<=5;

WHEN"11011111"=>B<=6;

WHEN"10111111"=>B<=7;

WHEN"01111111"=>B<=8;

WHEN OTHERS=>B<=0;

END CASE;

END PROCESS;

END ABC;

2 编码器仿真波形

图3.4.3编码器仿真图

波形分析:

如图3.4.3知,编码器为纯组合电路,处理数据为二进制,当分别向编码器输入不同信号时,对应输出不同的数值。如输入A<="11111111",译码器输出

B<= "1",其他同理。

3 编码器的封装模块图

图3.4.4编码器封装模块

(3)译码器模块与仿真波形

1 译码器VHDL源程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY yimaqi IS

PORT(B : IN INTEGER RANGE 8 DOWNTO 0;

LED :OUT STD_LOGIC_VECTOR(7 DOWNTO 1);

LA :OUT STD_LOGIC);

END yimaqi;

ARCHITECTURE ABC OF yimaqi IS

BEGIN PROCESS(B)

BEGIN

CASE B IS

WHEN 1=>LED<="0000110";

WHEN 2=>LED<="1011011";

WHEN 3=>LED<="1001111";

WHEN 4=>LED<="1100110";

WHEN 5=>LED<="1101101";

WHEN 6=>LED<="1111101";

WHEN 7=>LED<="0000111";

WHEN 8=>LED<="1111111";

WHEN OTHERS=>LED<="0111111";

END CASE;

IF B>=1 AND B<=8 THEN LA<='1';

ELSE LA<='0';

END IF;

END PROCESS;

END ABC;

2 译码器波形仿真图

图3.4.5译码器仿真图

波形分析:

如图3.4.5知,七段数码为纯组合电路,处理数据为二进制,当分别向译码器输入不同信号时,对应数码管的七个段接高电平的亮起,从而输出不同的数值。如输入B<='0',译码器输出LED<= "3F",数码管显示为“0”,数码管输出LA<='0',喇叭不响;如输入B<='1',译码器输出LED<="06",数码管显示为“1”,数码

管输出LA<='1',喇叭响;其他同理。

3 译码器的封装模块

图3.4.6译码器封装模块

(4)模块和仿真波形

1 完整的硬件编辑原理图

图3.4.7系统原理图

2 完整模块波形仿真图

图3.4.8系统仿真图

波形分析:

由图3.4.8可知,此图为8路抢答器的完整的时序仿真波形,它把抢答器,编码和译码的模块综合为一体,使抢答输入一发生,便在数码显示管上显示该组最先抢答的编号。从上述文本语言输入可见,是将二者的语言综合写在了一块儿,综合后的文本更加简练,由仿真图形也可以清晰看出该电路的最终功能和应用。

4 调试与故障处理

4.1 调试

引脚锁定完成,便进行硬件下载命令。试验箱接通电源后,便进行实验验证,按下清零键RST,然后按下抢答的开关按钮,当下按的是第几个编号,数码管显

示的就是该编号,同时蜂鸣器响起,再按其他的抢答按钮已无反应,表示抢答成功,该锁存模块电路时正确的。然后再按下清零键RST,再逐一尝试其他的抢答开关按钮,观察是否都能正常工作,如果都正常工作,则表示该8路智能抢答器设计正确。

打开实验箱,讲导线连接到对应的引脚上,按下复位键,此时只有发光二级管是亮的,然后按下一号组,蜂鸣器响起,同时显示器上显示1,这时再按下2,显示器仍然显示1.按下复位键,先按2,再按3,显示器显示2,蜂鸣器叫。实验成功。

4.2 故障处理和分析

如果蜂鸣器不叫,考虑是否导线短路或者断路,然后依次检测所用导线,如果此时仍然不叫,检测所用引脚是否是好的,用万用表检测。如果仍然不叫,回来看程序,看程序中是否用了非门将低电平信号转换为高电平信号。

显示管不能显示数字。仍然首先考虑导线,各个线段进行测试,判断是否良好。若完好继续检测芯片是否完好。在8个显示引脚随意输入一个二进制数组,然后看该对应的显示断好不,依次检测。如果不能锁存,问题就一般出现在原理上,应该从原理上进行分析。

5 设计心得体会

这次的EDA课程设计,熟练地掌握了EDA设计软件max+plus2的操作,之前学会了基本的课程设计以及编译仿真的操作,这次的八路电子抢答器又让我学到很多,但是其中也遇到了很多困难。这次实验不仅仅是验证性的,还要由自己来分析,思考,设计,测试和验证以及改正,所以这个期间我个人觉得还是有困难的。还好的是老师在课程设计之前给我们大家讲了一下抢答器的基本原理和功能,在理解了它的三个模块锁存器,编译器和译码器各自的功能和应用之后我们设计起来就事半功倍了,

实验过程中,我遇到的最大的问题就是在设计用VHDL语言编程的时候,总是不能完成硬件模块的连接,编译时总是有错误,最后在自己查阅资料和老师的帮助下,将程序全部重新封装,以及重新连接,最后通过了编译。我在实验室进行实物操作时也没有想象中的那么顺利,我重复操作了很多次,最后将实物焊接起来,进行最后调试。

这次课程设计完成,感谢常老师的细心指导,认真教学,为我以后的实验打下坚实的基础。

八路抢答器课程设计

电子技术 课程设计 题目:八路抢答器的设计 学院(系): 专业班级:电子132 学生姓名:学生学号:13446413 指导教师: 设计时间:2015年6 月22日 2015年7月15日

电子技术课程设计任务书2 学院电子(怀)132 班同学:

计算机教研室指导教师_

目录 摘要-------------------------------------------------------------------------------------1 1八路抢答器-----------------------------------------------------------------------------------------2 1.1前言------------------------------------------------------------------------------------------------2 1.2八路抢答器功能-----------------------------------------2 2系统的组成及工作原理--------------------------------------2 2.1系统组成框图--------------------------------------------------------------------------------- 3 2.2系统的工作原理------------------------------------------------------------------------------ 3 3电路设计--------------------------------------------------- 4 3.1方案的选择------------------------------------------------------------------------------------ 4 3.1.1方案一---------------------------------------------------------------------------------------4 3.1.2方案二---------------------------------------------------------------------------------------5 3.1.3方案的选择---------------------------------------------------------------------------------5 3.2单元电路的设计------------------------------------------------------------------------------ 5 3.2.1抢答电路的设计---------------------------------------------------------------------------5 3.2.2定时电路的设计-------------------------------------------------------------------------11 3.2.3触发器电路的设计----------------------------------------------------------------------13 3.2.4多谐振荡器电路的设计----------------------------------------------------------------15 3.2.5秒脉冲产生电路的设计----------------------------------------------------------------16 4性能的测试------------------------------------------------17 5体会与总结------------------------------------------------------------------------------------- 18 参考文献-------------------------------------------------------------------------------------------18 附录:元器件列表----------------------------------------------------------------------------- 19

最新八路竞赛抢答器课程设计

八路竞赛抢答器课程 设计

目录 摘要 0 1 八路竞赛抢答器的设计要求与设计方案 (1) 1.1 八路竞赛抢答器的方案选择与比较 (1) 1.2 八路竞赛抢答器的元件选择 (2) 2 八路竞赛抢答器的硬件设计 (2) 2.1 八路竞赛抢答器的硬件框图 (2) 2.2 显示电路设计 (3) 2.3 电路设计 (3) 2.4 开始和复位电路 (4) 2.5 总电路 (5) 2.6 可编程并行接口8255及其引脚说明 (7) 2.7 8086及引脚说明 (8) 2.7.1 名称和功能相同的32个引脚 (9) 2.7.2 最小模式下的24--31引脚 (11) 2.7.3 最大模式下的24--31引脚 (12) 3 八路抢答器的软件设计 (14) 3.1 主程序流程图 (14) 3.2 显示模块程序设计 (15) 3.3 延时模块程序设计 (16) 3.4 开始模块程序设计 (17) 3.5 复位模块程序设计 (17) 3.6程序清单 (18) 设计体会与小结 (21) 参考文献 (22)

摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答器作为一种工具,已经广泛应用于各种智力知识竞赛场合。但抢答器的使用频率较低,有的制作复杂,有的可靠性低,减少实用性。本课程设计是基于微机原理与接口技术的简单应用。通过硬件与软件的结合,用我们刚刚学过的汇编语言编写程序模拟分析了竞赛中抢答系统的运用,结合竞赛的实际情况阐述了抢答系统的工作原理,给出了一种简单实用的多路抢答系统的硬件、软件电路设计方案。该抢答器由主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若无人抢答,当主持人按下复位按钮时,屏幕显示清零,等待下一轮抢答。 本课题设计了一种采用8255芯片和汇编语言制作的多功能抢答器,实验箱中的开关区和数码显示区共同完成。主要功能:1.倒计时 2.用LED数码管显示1-8号选手先按下键者的号码。它除了具有基本的抢答功能之外,和数显的功能,当抢答开始后,系统会自动倒计时,并且时间是可以预设的,期间有人抢答的话系统会停止计时,如果期间没人抢答,系统自动锁存直到主持人按下复位键。 关键字:中断优先级可编程定时器/计数器数码管

八路抢答器课程设计

课程设计报告 课程名称:电子技术课程设计 设计题目:八路抢答器 专业: 班级:学号: 学生姓名: 时间: 2014年 10 月 27 日~ 11 月 12 日 ―――――――以下指导教师填写――――― 分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

介绍了数码显示八路抢答器电路的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能,若超过抢答时间则抢答无效。 该抢答器主要运用到了编码器,译码器和锁存器:它采用74LS148来实现抢答器的选号,采用74LS279芯片实现对号码的锁存,采用74LS192实现十进制的减法计数,采用555芯片产生秒脉冲信号来共同实现倒计时功能,采用74LS121单稳态芯片来实现报警信号的输出。 通过课程设计提高和巩固了所学的专业知识,以及知识的综合应用和焊接技术。 关键词: 抢答器编码译码定时报警

进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。过去在举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。人们于是开始寻求一种能不依人的主观意愿来判断的设备来规范比赛。因此,为了克服这种现象的惯性发生人们利用各种资源和条件设计出很多的抢答器,从最初的简单抢答按钮,到后来的显示选手号的抢答器,再到现在的数显抢答器,其功能在一天的趋于完善不但可以用来倒计时抢答,还兼具报警,计分显示等等功能,有了这些更准确地仪器使得我们的竞赛变得更加精彩纷呈,也使比赛更突显其公平公正的原则。 今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求是酒无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样话,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计,本次设计主要利用常见的74LS系列集成电路芯片和555芯片,并通过划分功能模块进行各个部分的设计,最后完成了八路智力竞赛抢答器的设计。

八路抢答器设计总结,心得体会

设计总结 1、设计思路是整个设计的灵魂拿下每个课题能有一个非常清晰的设计思路是至关重要的。只有对课题的充分理解,对各种器件的熟练掌握,勾画出基本的设计图是成功的关键,必须多花时间在设计上才能为后续工作提,供更扎实的基础。翻阅各种资料,上网查询填补所需知识的空白是必要的。 2、焊接制作必须精益求精焊接必须精益求精,一丝不苟,一点的差错都可能导致实验结果错误,因此必须准确无误还要工整,这样才能在调试中能比较轻松进行,也是整个电路可看性更好。 3、调试调试工作是个精细工作。在调试过程中,有些问题是芯片本身损坏引起的,也有些是因为焊接问题引起的等因此排查过程需要特别有耐心,通过对芯片功能的检验,对焊点的检查最后检查出问题所在。当最后解决问题时,电路的正确是非常振奋,也很有成就感和满足感。 4、建议设计的作品主要是用cd4511 系列集成芯片来完成的,在焊接的过程中由于芯片的引脚过多,布线工作不是很方便。有时候还因为某一跟线没有焊牢,造成电路的不稳定,这些都是有待改进的。 5、不足实验效率低,焊接水平不足导致电路稳定性不高,布线比较混乱,这些都有待提高。总之,在设计过程中学到了许多。作为现代的大学生,如果仅停留在以往的层次上,是远远跟不上时代的步伐,也无法使自己立足在竞争如此激烈的社会里,通过此次实习,看到了自己的水平和差距,学要在今后的学习中又进一步的提高。 心得体会 通过本次课程设计,把我们在课堂上学到的数字电路知识运用到实际当中。如各种常见芯片的功能,各种组合逻辑电路和时序逻辑电路的设计,在此次设计中,当然也遇到了许多问题,毕竟这是第一次设计一个很实际的硬件的器件。在进行一个综合性的硬件设计时,要全面考虑问题,如想用其他信号来控制一个信号,就要考虑到和这个信号直接或间接关系的信号,必须是最重要相关的信号,然后用真值表来解决他们的关系,通过门电路来实现。这一个星期的课程设计,让我真正理解了书本上知识,也让我知道我们课本上的知识在实际中怎么应用,理论联系实际,。通过此次设计,我对理论知识的学习有了很大的兴趣,现在我可以主动的去学习,我明白自己该学习那个方面,重点是什么。我也掌握的了在理论中遇到问题,应该怎样去解决,在实际中遇到迷团应该怎样去检查调试。虽然最后我没调试出我们想要的结果,但是经过这次课程设计让我们更巩固了我们的专业知识和焊接技能。在这次设计过程中还了解到在设计的时候不仅是设计好,更重要的是想方设法在功能实现的同时降低成本。

八路智力竞赛抢答器设计说明

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版自美主编华中科技大学 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、74LS00、74LS11、555 各一片,数码管三个,发光二极管一个,开关、电阻、电容若干,面包板,导线 若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续时间0.5s左右。

2.参赛选手在设定的时间抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 报告要求: 课程设计的容要求用A4纸打印,且页数不得少于20页。 时间安排: 第20周理论设计、实验室安装调试 地点安排: 鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

基于51单片机八路抢答器的设计大学论文

毕业设计(论文) 题 目: 基于51单片机的抢答器系统设计 函授站点: 中国矿业大学继续教育学院 学习层次: 专科 班级名称: 徐工技师学院 函机电2015班 姓名: 学号: 中国矿业大学继续教育学院 20 年 月 日

摘要 随着科学技术的发展和普及,各种各样的竞赛越来越多,其中抢答器的作用也就显而易见。目前很多抢答器基本上采用小规模数字集成电路设计,使用起来不够理想。因此设计一更易于使用和区分度高的抢答器成了非常迫切的任务。现在单片机已进入各个领域,以其功耗小、智能化而著称,所以若利用单片机来设计抢答器,便使以上问题得以解决.针对以上情况,本文设计出以STC89C52RC单片机为核心的八路抢答器。我们采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,它能根据不同的抢答输入信号,经过单片机的控制处理并产生不同的与输入信号相对应的输出信号,最后通过LED数码管显示相应的路数,即使两组的抢答时间相差几微秒,也可分辨出是哪组优先按下的按键,它充分利用了单片机系统的优点,具有结构简单、功能强大、可靠性好、实用性强的特点。 本设计是以八路抢答为基本理念。考虑到依需设定限时回答的功能,利用51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为犯规;满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 关键词:STC89C52RC;共阴数码管;按键;蜂鸣器

八路抢答器设计(附源程序)

烟台大学单片机课程设计说明书课题:八路抢答器 学生姓名: 学号: 院系:机电汽车工程学院 专业:机械设计制造及其自动化 指导老师: 同组成员: 组长: 2012 年06 月07 日 目录

1 概述 (2) 2设计任务 (2) 3 系统总体方案 (3) 4 硬件设计 (4) 控制系统所需硬件 (4) 硬件原理介绍 (4) 5 软件设计 (7) 软件总体设计 (7) 程序流程图 (8) 6 Proteus软件仿真 (12) Keil软件 (12) 在Proteus软件 (12) 7小结 (14) 8心得体会 (15) 附1:源程序代码 (16) 附2:参考文献 (24) 1 .概述

8路智能抢答器的设计 现如今,各种智力知识竞赛已经成为人们的一种娱乐形式,人们在答题的过程中不仅可以享受到乐趣,还可以学到一些科学知识和生活常识。然而在抢答过程中,单靠视觉是很难判断出哪组最先完成抢答操作。为了辨别哪一组或哪一位选手获得答题权,必须要设计一个智能抢答控制系统——智能抢答器。 抢答器作为一种电子产品,已被人们所熟知并广泛应用于各种智力知识竞赛场合。抢答器在竞赛中有很大用处,通过抢答器的指示灯显示,数码管显示和警示蜂鸣等手段,能准确,公正,直观地判断出第1抢答者并协助比赛的顺利进行。但是,目前使用的抢答器大多数都采用了逻辑电路进行设计,分立元件较多,造成抢答器的成本较高。此外一般抢答器由模拟电路,数字电路或二者结合组成,其智能化程度低,故障率高,显示简单。现代电子技术的发展要求电子电路朝数字化,集成化方向发展,因此设计出全集成电路的多路抢答器是现代电子技术发展的要求。 2 .设计任务 本设计要求学生结合现有的实际条件,以单片机为控制核心,设计一个8路智能抢答器。要求实现的功能如下: 1) 抢答器可同时供8名选手或8个代表队比赛,分别用8个按键S1~S8进行抢答。 2) 主持人可以通过智能抢答器的按键设定每道题的抢答时间和回答时间。 3) 具有清零和非法抢答控制功能,并由主持人操纵,避免选手在主持人说“开始”前提前抢答,违反规则。 4) 当主持人启动“开始抢答键”后,定时器进行减计时,在10s内无人抢答表示所有参赛选手或参赛队对本题弃权,抢答时间耗尽后禁止抢答。 5) 倒计时5s时,如果仍无人抢答,则系统每1s报警一次,用以提示参赛选手。 6) 抢答器具有锁存与显示功能。即选手按下按键,锁存相应选手的参赛号码,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,其他按键者将不能响应,以便公平地选择第一个抢答者。 7) 参赛选手在设定的时间内进行抢答,抢答有效,显示器上显示选手的编号同时进入回答问题的30s倒计时。 8) 倒计时期间,如果主持人想终止倒计时,可以按下“停止”按键,系统

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

八路智力竞赛抢答器课程设计绝度详细

电子技术课程设计 八 路 智 力 抢 答 器 院系: 电气工程与自动化 班级: 姓名: 学号: 指导老师:

目录 一引言 (1) 1.1 设计要求 (1) 1.2 功能要求 (2) 1.3 整体概要 (2) 二方案设计与论证 (3) 2.1 方案一 (3) 2.2 方案二 (3) 三系统分析与设计 (4) 3.1 抢答器电路设计与相关元器 (4) 3.2 定时电路设计与相关元件 (6) 3.3 报警电路设计与相关元器件 (8) 3.4 时序电路与相关元器件 (8) 3.5 智力抢答器电路原理图 (9) 3.6 元器件清单 (10) 四电路仿真 (11) 五实物制作 (13) 六元器件清单 (16) 七设计总结体会 (17) 八参考文献 (18)

一引言 智力竞赛是一种生动活泼的教育方式,而抢答就是智力竞赛中非常常见的一种答题方式。抢答能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。但是,在这类比赛中,对于谁先谁后抢答,在何时抢答,如何计算答题时间等等问题,若是仅凭主持人的主观判断,就很容易出现误判。所以,我们就需要一种具备自动锁存,置位,清零等功能智能抢答器来解决这些问题。 1.1、设计要求 (1)设计一个智力抢答器,可同时供8名选手或8个代表队参加比赛.他们的编号分别是1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 (2)节目主持人设置一个控制开关,用来控制系统的复位和抢答开始. (3)抢答器具有数据锁存和显示功能.抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器发出声音提示.此外封锁输入电路,禁止其它选手抢答.优先抢答的选手的编号一直保持到主持人将系统复位为止. 1.2、功能要求 (1)抢答器具有定时抢答功能.且一次抢答的时间可由主持人设定.当节目主持人启动”开始”键后,要求定时器立即开始减计时,并用显示器显示,同时扬声器发出短暂的声响,声响持续时间0.5S左右. (2)参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统消零为止. (3)如果定时抢答器时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器显示00. 1.3、整体概述 (1)数字抢答器由主体电路与扩张电路组成.优先编码电路,锁存器,译码电路将参赛队的输入信号在显示器上输出:用控制电路和主持人的开关启动报警电路,以上两部分

数字八路抢答器课程设计报告

梧州学院 课程设计论文(2013-2014学年下学期) 课程名称数字电路 论文题目八路数字智力抢答器 系别信息与电子工程学院 专业电子信息工程 班级电本一班 学号000000000000 学生XX 聪明的小强 指导教师xxx 完成时间2015 年7 月

抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发, 用数字、模拟电子器件设计具有扩充功能的抢答器。该设计数字抢答器就是利用数字电子技术实现的。主要为了实现抢答、定时、显示、报警功能。其电路由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。当有选手按下按钮时,优先锁存,其他选手在按时无响应,直到主持人按下清零按钮之后,才能开始下一轮的抢答。比较实用。 该数字抢答器可以广泛应用于各种竞赛,确保竞赛的准确,公平。该数字抢答器虽然可以用单片机程序来完成,但繁琐和代价高。而用集成电路设计制作抢答器:方便,价格便宜,还易于扩展。 关键字:编码,译码,555定时器,抢答器

第一章引言 (1) 1.1 设计要求 (1) 1.2 系统概述 (1) 第二章设计方案分析与论证 (3) 2.1 设计方案分析 (3) 2.2 方案的论证与选择 (3) 第三章单元电路设计 (5) 3.1 抢答电路设计 (5) 3.2 定时电路设计 (7) 3.1 时序控制电路设计 ................................................................ (13) 第四章智能抢答器实物制作 (15) 4.1 原理图设计和PCB设计 (15) 4.2 实物焊接及调试 (16) 4.2 实物展示 (17) 第五章测试结果分析与设计体会 (20) 5.1 测试结果与分析 (20) 5.2 设计体会与实验总结 (20) 参考文献 (21) 附录元器件清单 (22)

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

八路智能竞赛抢答器设计课程设计

八路智能竞赛抢答器设计课程设计

八路智能竞赛抢答器设计 课程设计任务书 学生姓名:专业班级:通信0706班 指导教师:工作单位:信息工程学院 题目: 八路智能竞赛抢答器设计 初始条件: 要求完成的主要任务: 1、抢答器电路组成及工作原理 2、定时器电路组成及工作原理 3、报警及时序控制电路组成及工作原理 4、仿真结果分析 时间安排: 第20周,安排任务(鉴3-302,1.14星期一上午1~4节) 第20-21周,绘图仿真设计(鉴主13楼计算机实验室1) 第21周,完成(答辩,提交报告,演示) 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1. 抢答器的功能要求 (2) 1.1基本功能 (2) 1.2扩展功能 (2) 2. 方案论证与比较 (2) 2.1方案一:采用数字电路 (3) 2.2方案二:采用单片机 (3) 2.3方案三:采用PLC (4) 2.4方案比较 (4) 3.总体设计原理与各部分单元电路的设计 (5) 3.1数字抢答器总体具体方框图 (5) 3.2各部分单元电路的设计 (6) 3.3完整电路图设计 (9) 4电路调试 (9) 4.1抢答器电路 (9) 4.3秒脉冲发生电路 (11) 4.4时序控制电路 (12) 4.4报警电路 (13) 4.5整体电路调试 (14) 5仿真结果分析 (15) 5.1抢答电路仿真 (15)

5.3总体电路仿真 (16) 6心得与体会 (18) 7参考资料 (19) 8附录:电路中的元件介绍 (20) 8.1 优先编码器74LS148 (20) 8.2译码器74LS48 (21) 8.3同步十进制可逆计数器74LS192 (23) 8.4 555芯片 (25)

课程设计 八路抢答器的设计

电子技术课程设计2007-2008-1 系别 班级 姓名 学号

一、题目 八路抢答器:设置8个抢答按钮,另设置1个主持人按钮来清零。主持人清零后,首先抢答人的号码显示出来并保持,直到主持人再次清零,可设置定时抢答,超出规定时间为无效抢答,只要有人抢答,就发出声、光指示。 二、主要技术指标 该抢答器具有倒计时、抢答、报警的功能。 三、方案论证及选择 (一)、设计要求 1、智力竞赛抢答器可同时功8名选手或8个代表队参加比赛他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7; 2、给节目支持人设置一个控制开关,用来控制系统的清零和抢答的开始; 3、抢答器具有数据锁存和显示功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示,此外,要锁存输入电路,禁止其他选手抢答,优先抢答的选手编号一直保持到主持人将系统清零为止; 4、抢答器具有定时抢答的功能,且一次抢答的时间可由主持人设定,当节目主持人启动“开始”键后,要求定时器立即减计时,

并用显示器显示,同时扬声器发出短暂的声响,声响持续时间 0.5s左右; 5、参赛选手再设定的时间内抢答有效,定时器停止工作,显示 器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零; 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无 效,系统短暂报警,并封锁输入电路,禁止选手超时抢答,时间显示器上显示00。 (二)、电路设计 根据设计要求,可以把电路分为三块:定时电路、抢答电路和报警电路。 1、设计要点 定时抢答器的总体框图如图a所示,其工作过程是:接通电源时节目主持人将开关置于“清零”位置,抢答器处于静止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布抢答题目后,说一声“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。当定时时间到,却没有选手抢答,系统报警,并封锁输入电路,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作: (1)优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编码;

数字电子设计_八路抢答器介绍

数字电子技术 课程设计任务书 专业 班级 姓名 学号 指导老师 年月日 学院

目录 摘要 第一章设计技术要求 第二章系统的组成框图及工作原理第三章单元电路设计 1.1 抢答电路的设计 1.2 定时电路的设计 1.3 报警电路的设计 1.4 时序控制电路的设计 第四章整机电路的设计 第五章元件清单 第六章参考文献 第七章设计总结

摘要 进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。 主要介绍了数码显示八路抢答器电路的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能,若超过抢答时间则抢答无效。 该抢答器主要运用到了编码器,译码器和锁存器:它采用74LS148来实现抢答器的选号,采用74LS279芯片实现对号码的锁存,采用74LS192实现十进制的减法计数,采用555芯片产生秒脉冲信号来共同实现倒计时功能。 关键词: 抢答器编码译码定时报警 第一章设计技术的要求 (1)设计8路抢答器,编号与参赛选手一一对应。 (2)具有优先显示抢答序号及时间的功能并禁止其他选手的抢答。(3)主持人预置抢答时间,控制比赛的开始与结束。 (4)报警电路:主持人按下“开始”键时报警并进入抢答状态;当抢答者发出抢答信号时报警提示;在规定抢答终止时间到时报警。 第二章系统的组成框图及工作原理 抢答器的组成框图

多路抢答器课程设计报告详解

课程设计说明书 题目:多路抢答器设计 二级学院机械工程学院 年级专业14级机械设计制造及其自动化学号1401210012 学生姓名曾骏 指导教师洪云 教师职称讲师

目录 摘要 (1) 一、绪论 (1) 1、单片机抢答器的背景 (1) 2、单片机的应用 (2) 3、抢答器的应用 (3) 二、方案设计 (4) 1、总方案设计 (4) 2、基本功能 (4) 3、扩展功能 (5) 三、硬件电路设计 (6) 1、单片机的选择 (6) 2、各模块设计 (7) 2.1、单片机最小系统 (7) 2.2、抢答按键电路 (8) 2.3、显示器电路 (8) 2.4、蜂鸣器音频输出电路 (9) 四、软件设计 (10) 1、程序设计 (10) 2、主程序设计 (11) 五、心得体会 (12) 附录 1.程序清单 (13) 2.硬件图 (23) 六、参考文献 (24)

摘要 此次设计使用AT89C51单片机为核心控制元件,设计一个简易的抢答器,与数码管、报警器等构成八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时/中断电路等。设计的抢答器具有实时显示抢答选手的号码和抢答时间的特点,而复位电路,则使其能再开始新的一轮答题和比赛,与此同时还利用汇编语言编程,使其能够实现一些基本的功能。 本次设计系统实用性强、判断精确、操作简单、扩展功能强等。它的功能实现是比赛开始,主持人读完题之后按下总开关,则计时开始,此时数码管开始进行1s的减计时,直到有一个选手按下抢答按钮,这时对应的数码管上会显示出该选手的编号和抢答所用的时间,同时该选手的报警器也会发出声音,来提示有人抢答本题。如果在规定的30s时间内没有选手做出抢答,则此题作废,即开始重新一轮的抢答。 关键词:单片机、抢答器、数码管、报警器 一、绪论 1、单片机抢答器的背景 二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。单片机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人脑的作用,要是它出了毛病,那么整个装置就将瘫痪。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词--“智能型”。如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

八路抢答器说明书概要

烟台大学 51单片机课程设计说明书课题:八路抢答器 学生姓名:王志林 学号:201056501312 院系:机电汽车工程学院 指导老师:姜风国 同组成员:张凤礼、张体栋、程事业、范光科2013 年 06 月 05 日

目录 1 设计任务 (2) 2 系统总体方案 (2) 3 硬件设计 (3) 3.1 控制系统所需硬件 (3) 3.2 硬件原理介绍 (4) 4 软件设计 (6) 4.1 软件总体设计 (6) 4.2 程序流程图 (7) 5 软件仿真...................................................................................... (9) 5.1 Keil软件 (9) 5.2在Proteus软件 (9) 6小结 (10) 附1:源程序代码 (11) 附2:参考文献 (18)

1 .设计任务 本设计要求学生结合现有的实际条件,以51单片机为控制核心,设计一个8路智能抢答器。要求实现以下功能: 1) 有一主持人和8个参赛队员 2) 当主持人按下抢答按键,参赛队员在10秒内可以抢答,并且抢答器开始倒计时。剩余5秒时,如果仍无人抢答,则系统每1s报警一次。如超出10秒则不能抢答;如抢答成功,则显示抢答队号。 3) 抢答成功则需在60秒内回答完成,如超出时间则抢答无效,显示无效指示。如果60秒完成回答,则抢答成功,显示有效。剩余5秒时,如果仍无人回答,则系统每1s报警一次。 4) 当主持人按下复位键时,系统回到初始状态。 5) 倒计时期间,如果主持人想终止倒计时,可以按下“停止”按键,系统会自动进入准备状态。 主要硬件设备:AT89C51单片机,8输入3态缓冲器/线驱动器74LS244,六反相驱动器7404,共阳极LED数码管等,12MHZ晶振,74LS04反相器,手动开关,按键若干,报警喇叭。 2.系统总体方案 2.1整体方案设计 该智能抢答器以AT89C51单片机为控制核心,控制精度较高,操作误差主要来自晶振自身所造成的误差。其他外围电路包括复位电路,时钟电路,报警电路,LED显示电路,抢答按键等。该智能抢答器具有计时记忆功能,一次时间设置完,复位后不需重新进行时间设定;通过按键扫描输出按键信息,并通过单片机将它转化为在七段数码管上显示的字符型。单片机的P1口为8组抢答按键的输入口,P0.0~P0.6为数码管的段选口,P2.0~P2,2为数码管的片选口。P3.6为报警电路的控制口。智能抢答器的整体方案设计图如下所示。

数电课程设计八路抢答器

数字电子技术课程设计报告八路智力竞赛抢答器的设计 专业:电子信息科学及技术 班级: 2012级1班 姓名: 学号: 指导老师: 电子通信及物理学院 日期: 2015 年 1 月 10 日

指导教师评语

1设计要求 在当代社会中企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。过去在举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。人们于是开始寻求一种能不依人的主观意愿来判断的设备来规范比赛。因此,为了克服这种现象的惯性发生人们利用各种资源和条件设计出很多的抢答器,从最初的简单抢答按钮,到后来的显示选手号的抢答器,再到现在的数显抢答器,其功能在一天天的趋于完善不但可以用来倒计时抢答,还兼具报警等等功能,有了这些更准确地仪器使得我们的竞赛变得更加精彩纷呈,也使比赛更突显其公平公正的原则。在这一背景下本文利用74LS系列芯片设计了一种有效、便捷的八路数字抢答器。 设计要求如下:利用数字电路设计一个八路抢答器,允许八路参加,并具有锁定功能,用LED显示最先抢答的队号码,系统设置外部清除键,按动清除键,LED显示器

自动清零灭灯。数字显示功能:数字抢答器定时为30S,启动开启键以后要求Ⅰ)定时开始;Ⅱ)扬声器要短暂报警;Ⅲ)发光二极管亮灯;如果在30S内抢答有效,计时结束,30S内抢答无效,发光二极管灯灭。 2 设计任务 本次描述的八路抢答器功能指标为:设计一个能支持八路抢答的智力竞赛抢答器;主持人按下开始抢答的按键后,有短暂的报警声提示抢答人员抢答开始且指示灯亮表示抢答进行中;在开始抢答后数码管显示30秒倒计时;有抢答人员按下抢答键后,在数码管上显示抢答成功人员的编号,倒计时暂停,同时后续抢答人员的抢答将无效;当主持人再次按下按键回到复位状态,倒计时的数码管保持显示30,显示人员编号的数码管灭,指示灯灭。 本次设计的电路由包括抢答电路、定时电路、报警电路在内的三部分电路组成。抢答电路由按键、锁存器、优先编码器、数码管译码驱动器等器件组成;定时电路由555定时器、计数器、锁存器、数码管译码驱动器、开关等器件组成;报警电路由蜂鸣器、单稳态脉冲触发芯片等器件

相关文档
最新文档