1数字逻辑基础

1数字逻辑基础
1数字逻辑基础

数字逻辑知识点总结

1、三极管的截止条件是V BE <0.5V ,截止的特点是I b =I c ≈0;饱和条件是 I b ≥(E C -Vces )/(β·R C ),饱和的特点是V BE ≈0.7V ,V CE =V CES ≤0.3V 。 2、逻辑常量运算公式 3、逻辑变量、常量运算公式 4、 逻辑代数的基本定律 根据逻辑变量和逻辑运算的基本定义,可得出逻辑代数的基本定律。 ①互非定律: A+A = l ,A ? A = 0 ;1=+A A ,0=?A A ; ②重叠定律(同一定律):A ? A=A , A+A=A ; ③反演定律(摩根定律):A ? B=A+B 9 A+B=A ? B B A B A ?=+,B A B A +=?; ④还原定律: A A = ch2. 1、三种基本逻辑是与、或、非。 2、三态输出门的输出端可以出现高电平、底电平和高阻三种状态。

1、组合电路的特点:电路任意时刻输出状态只取决于该时刻的输入状态,而与该时刻前的电路状态无关。 2、编码器:实现编码的数字电路 3、译码器:实现译码的逻辑电路 4、数据分配器:在数据传输过程中,将某一路数据分配到不同的数据通道上。 5、数据选择器:逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号。 6、半加器:只考虑两个一位二进制数相加,而不考虑低位进位的运算电路。 7、全加器:实现两个一位二进制数相加的同时,再加上来自低位的进位信号。 8、在数字设备中,数据的传输是大量的,且传输的数据都是由若干位二进制代码0和1组合而成的。 9、奇偶校验电路:能自动检验数据信息传送过程中是否出现误传的逻辑电路。 10、竞争:逻辑门的两个输入信号从不同电平同时向相反电平跳变的现象。 11、公式简化时常用的的基本公式和常用公式有(要记住): 1)()()C A B A BC A ++=+ 2)B A AB += B A B A +=+ (德.摩根定律) 3)B A B A A +=+ 4)B A AB BC B A AB +=++ 5)AB B A B A B A +=+ B A B A AB B A +=+ 12、逻辑代数的四种表示方法是真值表、函数表达式、卡诺图和逻辑图。 ch4. 1、触发器:具有记忆功能的基本逻辑单元。 2、触发器能接收、保存和输出数码0,1。各类触发器都可以由门电路组成。 3、基本触发器特点 1)有两个稳定状态和两个互补的输出。 2)在输入信号驱动下,能可靠地确定其中任一种状态。 4、基本RS 触发器特性表 -R -S Q -Q 说明 0 1 0 1 置0 1 0 1 0 置1 1 1 0或1 1或0 保持原来状态 0 0 1 1 不正常状态,0信号消失后,触发器状态不定

第一章 数字逻辑基础_数字逻辑与系统

第一章数字逻辑基础 教学基本要求: 掌握常用的数制二进制、十进制、十六进制的相互转换; 掌握二进制数的原码、反码及补码的表示方法; 掌握常用的编码及它们与二进制数间的相互转换; 掌握逻辑代数的基本定律与规则; 掌握逻辑函数的表示方法及各种表示方法之间的相互转换; 掌握代数法和卡诺图法化简逻辑函数。 重点: 常用的数制与编码; 逻辑代数基础; 逻辑命题的描述。 电子电路的信号主要有两类: 一类是在时间上和幅值上都连续的信号称为模拟信号,处理模拟信号的电路称为模拟电路。正弦信号是典型的模拟信号,如图1-1所示。 另一类是时间上和幅值上都离散的信号称为数字信号,处理数字信号的电路称为数字电路。脉冲信号是典型的数字信号,如图1-22所示。 数字电路的特点:

?工作信号是不连续的数字信号,所以电路中的半导体器件工作在开关状态,即稳定于饱和区或截止区,放大区只是其过度状态; ?数字电路既是开关电路又是逻辑电路,主要研究电路输入和输出间的逻辑关系。分析工具和方法与模拟电路完全不同,具有独立的基础理论; ?逻辑代数是分析逻辑电路的数学工具。 学习指导: 在本知识点学习中由最熟悉的十进制数入手,寻找各种计数体制的规律,特别要注意理解权的概念,熟练掌握任意进制数按权展开式。 在数字系统中采用二进制。因为二进制数的基数为2,只有0和1两个数码,其不仅运算简单,电路实现也容易,还可以利用逻辑代数;但表示同一数值的数比十进制需更多的位数,因此数字系统中又常用八进制和十六进制数。十、二、八、十六进制数的后缀分别为D、B、Q、H。对十进制数常可省略下标或后缀。十进制数特点: 1.有一个确定的基数10,且逢10进一; 2.有10个有序的数字符号有0--9和一个小数点,数码K i 从0~9; 3.每一个数位均有固定的含意称权10i,不同数位其权10i不同; 4.任意一个十进位制数均可写成按权展开式: (N) 10 = (K n-1 K n-2 …K 1 K .K -1 …K -m ) 10 = K n-1 10n-1+K n-2 10n-2+…+K 1 101+K 100+K -1 10-1+…+K -m 10-m 例: 二进制特点: ?二进制是以2为基数的计数体制,它仅采用2个数码0和1,并且“逢二进一”,即1+1=10; ?不同数位上的权值不同,其相应的权为2i; ?任意一个二进位制数均可写成按权展开式。

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.()10=()2 =(1A.2)16 2.()10=()2 3.(1011111.01101)2=( )8=()10 4.()8=()16 5.(1011)2×(101)2=(110111)2 6.(486)10=(0)8421BCD =(0)余3BCD 7.()10=()8421BCD 8.()8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。

21.函数D =的最小项表达式为Y= ∑m(1,3,9,11,12,13,14,15)。 Y+ AB B 22.约束项是不会出现的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F(A,B,C)=∏M(1,3,4,6,7),则F(A,B,C)=∑m( 0,2,5)。 24.VHDL的基本描述语句包括并行语句和顺序语句。 25.VHDL的并行语句在结构体中的执行是并行的,其执行方式与语句书写的顺序无关。 26.在VHDL的各种并行语句之间,可以用信号来交换信息。 27.VHDL的PROCESS(进程)语句是由顺序语句组成的,但其本身却是并行语句。 28.VHDL顺序语句只能出现在进程语句内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL的数据对象包括常数、变量和信号,它们是用来存放各种类型数据的容器。 30.下列各组数中,是6进制的是。 A.14752 B.62936 C.53452 D.37481 31.已知二进制数,其对应的十进制数为。 A.202 B.192 C.106 D.92 32.十进制数62对应的十六进制数是。 A.(3E)16 B.(36)16 C.(38)16 D.(3D)16 33.和二进制数()2等值的十六进制数是。 A.()16 B.()16 C.()16 D.()16 34.下列四个数中与十进制数(163)10不相等的是。 A.(A3)16 B.()2 C.(0001)8421BCD D.(1)8 35.下列数中最大数是。

数字逻辑第一章作业参考答案

第一章数字逻辑基础作业及参考答案 () P43 1-11 已知逻辑函数 A C C B B A F+ + = ,试用真值表、卡诺图和逻辑图表示该函数。解:(1 )真值表表示如下: 输入输出 A B C F 0000 0011 0101 0111 1001 1011 1101 1110 (2)卡诺图表示如下: 00011110 0101 1111 由卡诺图可得C B C B A F+ + ==C B C B A? ? (3)逻辑图表示如下: 1-12 用与非门和或非门实现下列函数,并画出逻辑图。 解:(1)BC AB C B A F+ = ) , , (BC AB? = (2)) + (?) + ( = ) , , , (D C B A D C B A F D C B A+ + + = 题1-12 (1) 题1-12 (2) A BC

1-14 利用公式法化简下列函数为最简与或式。 解:(2)C AB C B BC A AC F +++=C AB C B BC A AC +??= C AB C B C B A C A ++?++?+=)()()( C AB C B C C B C A C A B A ++?++++=)()( C AB C C B C B C A C AB C A C B A C B A ++++++++= C AB C C B C B C A C AB C A C B A C B A ++++++++= C = 解(3)DE E B ACE BD C A AB D A AD F +++++++= DE E B BD C A A ++++= E B BD C A +++= 解(5)))()((D C B A D C B A D C B A F +++++++++= D C AB BCD A ABCD F ++='ΘD C AB BCD +=ABD BCD += D B AC D B A D C B F ++=)++)(++(=∴ P44 1-15利用卡诺图化简下列函数为最简与或式。 解:(3))+++)(+++)(+++)(+++(=D C B A D C B A D C B A D C B A F 方法1:)+++)(+++)(+++(=D C B A D C B A D C B A F ))((D C B A D CD D A D C C A D B C B B B A AD AC B A ++++++++++++++= ))((D C B A D C A B AC ++++++= D C BD AD D C A C A C B A D B C B B A D AC ABC AC +++++++++++= D C BD AD C A D B C B B A AC +++++++= 方法2:D C AB CD B A D BC A F ++= F 的 卡 诺 图

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.(26.125)10=(11010.001)2 =(1A.2)16 2.(100.9375)10=(1100100.1111)2 3.(1011111.01101)2=( 137.32 )8=(95.40625)10 4.(133.126)8=(5B.2B )16 5.(1011)2×(101)2=(110111)2 6.(486)10=(010*********)8421BCD =(011110111001)余3BCD 7.(5.14)10=(0101.00010100)8421BCD 8.(10010011)8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。 21.函数D B AB Y +=的最小项表达式为Y = ∑m (1,3,9,11,12,13,14,15)。 22.约束项是 不会出现 的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F (A ,B ,C )=∏M (1,3,4,6,7),则F (A ,B ,C )=∑m ( 0,2,5)。 24.VHDL 的基本描述语句包括 并行语句 和 顺序语句 。 25.VHDL 的并行语句在结构体中的执行是 并行 的,其执行方式与语句书写的顺序无关。 26.在VHDL 的各种并行语句之间,可以用 信号 来交换信息。 27.VHDL 的PROCESS (进程)语句是由 顺序语句 组成的,但其本身却是 并行语句 。 28.VHDL 顺序语句只能出现在 进程语句 内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL 的数据对象包括 常数 、 变量 和 信号 ,它们是用来存放各种类型数据

数字逻辑基础作业及详细答案

第一章 数字逻辑基础 作业及参考答案 P43 1-7 列出下列问题的真值表,并写出逻辑函数表达式 (1)3个输入信号A 、B 、C ,如果3个输入信号都为1或其中两个信号为0,输出信号F 为1,其余情况下输出信号F 为0 。 (2)4个输入信号A 、B 、C 、D ,如果4个输入信号出现偶数个0时,输出信号F 为1,其余情况下,输出信号F 为0. (1)解:根据题意列出真值表如下:(2)解:根据题意列出真值表如下: ABC C B A C B A C B A F +++= ABCD D C AB D C B A D C B A D BC A D C B A D C AB D C B A F +++++++= 1-8 写出下列函数的反函数表达式和对偶函数表达式 解:(1)C AB F += C B A F ?+=)( C B A F ?+=)(' (2)C B A F +⊕= C B A F +⊕= C B A B A F ?+?+=)()(' (3)E BD AC D B A F )()(+++= ])()[()]([E D B C A D B A F ++?+?++= ])()[()('E D B C A BD A F ++?+?+= (4) CD A C B A B A F ++=)( )(CD A C B A B A F ++= )()('D C A C B A B A F ++?+++=

1-9 证明下列等式 (1)))(())()((C A B A C B C A B A ++=+++ 证明:))(())()((C B BC B A AC C B C A B A +++=+++ BC BC A B A AC ABC ++++=BC B A AC ++=))((C A B A ++= 证毕。 (2)E CD A E D C CD A ABC A ++=++++)( 证明:E D C CD A A E D C CD A ABC A )()(+++=++++ E D C A E D C D C A E D C CD A +++=++++=+++=)()( E CD A ++= 证毕。 (3)BC A BC D C A B A C A +=+++ 证明:BC B C A BC B A C A BC D C A B A C A ++=++=+++)( BC A BC BC A +=+=)( 证毕。 (4)D C D C B A D AC D C B D C A ⊕=+++⊕)( 证明:D C A D AC D C B D C A D C B A D AC D C B D C A +++⊕=+++⊕)()( )()()()(D C D C B D C D C A D C B D C A ⊕=+⊕=⊕++⊕= 证毕。 1-10 画出实现逻辑表达式BD E CD AB F ++=)(的逻辑电路图。 解:BD E CD AB F ++=)(BD CDE ABE ++=

数字逻辑知识点

第一章数制与代码 进位计数制的基本概念,进位基数和数位的权值。 常用进位计数制:十进制二进制八进制十六进制 数制转换: 把非十进制数转换成十进制数:按权展开相加。 十进制数转换成其它进制数:整数转换,采用基数连除法。 纯小数转换,采用基数连乘法。 二进制数转换成八进制数或十六进制数:以二进制数的小数点为起点,分别向左、向右,每三位(或四位)分一组。对于小数部分,最低位一组不足三位(或四位)时,必须在有效位右边补0,使其足位。然后,把每一组二进制数转换成八进制(或十六进制)数,并保持原排序。对于整数部分,最高位一组不足位时,可在有效位的左边补0,也可不补。 八进制(或十六进制)数转换成二进制数:只要把八进制(或十六进制)数的每一位数码分别转换成三位(或四位)的二进制数,并保持原排序即可。整数最高位一组左边的0,及小数最低位一组右边的0,可以省略。 常用代码:二-十进制码(BCD码Binary Coded Decimal) ——用二进制码元来表示十进制数符“0 ~ 9”主要有: 8421BCD码2421码余3码(注意区分有权码和无权码) 可靠性代码:格雷码和奇偶校验码 具有如下特点的代码叫格雷码:任何相邻的两个码组(包括首、尾两个码组)中,只有一个码元不同。格雷码还具有反射特性,即按教材表中所示的对称轴,除最高位互补反射外,其余低位码元以对称轴镜像反射。格雷码属于无权码。 在编码技术中,把两个码组中不同的码元的个数叫做这两个码组的距离,简称码距。由于格雷码的任意相邻的两个码组的距离均为1,故又称之为单位距离码。另外,由于首尾两个码组也具有单位距离特性,因而格雷码也叫循环码。 奇偶校验码是一种可以检测一位错误的代码。它由信息位和校验位两部分组成。(要掌握奇偶校验原理及校验位的形成及检测方法) 字符代码:ASCII码(American Standard Code for Information Interchange,美国信息交换标准代码)

数字电路(第二版)贾立新1数字逻辑基础习题解答

1数字逻辑基础习题解答 1 自我检测题 1.(26.125)10=(11010.001)2 =(1A.2)16 2.(100.9375)10=(1100100.1111)2 3.(1011111.01101)2=( 137.32 )8=(95.40625)10 4.(133.126)8=(5B.2B )16 5.(1011)2×(101)2=(110111)2 6.(486)10=(010*********)8421BCD =(011110111001)余3BCD 7.(5.14)10=(0101.00010100)8421BCD 8.(10010011)8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。 21.函数D B AB Y +=的最小项表达式为Y = ∑m (1,3,9,11,12,13,14,15)。 22.约束项是 不会出现 的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F (A ,B ,C )=∏M (1,3,4,6,7),则F (A ,B ,C )=∑m ( 0,2,5)。 24.VHDL 的基本描述语句包括 并行语句 和 顺序语句 。 25.VHDL 的并行语句在结构体中的执行是 并行 的,其执行方式与语句书写的顺序无关。 26.在VHDL 的各种并行语句之间,可以用 信号 来交换信息。 27.VHDL 的PROCESS (进程)语句是由 顺序语句 组成的,但其本身却是 并行语句 。 28.VHDL 顺序语句只能出现在 进程语句 内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL 的数据对象包括 常数 、 变量 和 信号 ,它们是用来存放各种类型数据的容器。

第1章 数字逻辑基础

第1 章数字逻辑基础 部分习题解答 1.3 将下列十进制数转换成等值的二进制数、八进制数、十六进制数。要求二进制数保留小数点后4位有效数字。 (1)(19)D ;(2)(37.656)D ;(3)(0.3569)D 解: (19)D=(10011)B=(23)O=(13)H (37.656)D=(100101.1010)B=(45.5176)O=(25.A7E)H (0.3569)D=(0.01011)B=(0.266)O=(0.5B)H 1.4 将下列八进制数转换成等值的二进制数。 (1)(137)O ;(2)(36.452)O ;(3)(0.1436)O 解: (137)O=(1 011 111)B (36.452)O=(11110. 10010101)B (0.1436)O=(0.001 100 011 11)B 1.5 将下列十六进制数转换成等值的二进制数。 (1)(1E7.2C)H ;(2)(36A.45D)H ;(3)(0.B4F6)H 解: (1E7.2C)H=(1 1110 0111.0010 11)B (36A.45D)H=(11 0110 1010. 0100 0101 1101)B (0.B4F6)H=(0.1011 0100 1111 011)B 1.6 求下列BCD码代表的十进制数。 (1)(1000011000110101.10010111)8421BCD ; (2)(1011011011000101.10010111)余3 BCD ; (3)(1110110101000011.11011011)2421BCD; (4)(1010101110001011.10010011)5421BCD ; 解: (1000 0110 0011 0101.1001 0111)8421BCD=(8635.97)D (1011 0110 1100 0101.1001 0111)余3 BCD =(839.24)D (1110 1101 0100 0011.1101 1011)2421BCD=(8743.75)D (1010 1011 1000 1011.1001 0011)5421BCD=(7858.63)D 1.7 试完成下列代码转换。 (1)(1110110101000011.11011011)2421BCD = (?)余3 BCD (2)(1010101110001011.10010011)5421BCD= (?)8421BCD

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1)二—十进制码( BCD 码) 在这种编码中,用四位二进制数表示十进制数中的 0~9 十个数码。常用的编码有 8421BCD 码、 5421BCD 码和余 3 码。 8421BCD 码是由四位二进制数0000 到 1111 十六种组合中前十种组合,即0000~1001 来代表十进制数0~9 十个数码,每位二进制码具有固定的权值8、 4、 2、1,称有权码。 余 3 码是由 8421BCD 码加 3( 0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而 其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工 具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函 数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数 的简化。二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运 用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画 包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、 求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路 呢?通常的步骤如下:

数字逻辑课程作业答案

数字逻辑课程作业_A 交卷时间:2016-05-04 16:55:11 一、单选题 1. (4分)如图x1-275 A. (A) B. (B) C. (C) D. (D) 得分:0 知识点:第一章 答案D 解析第一章补码 2. (4分)以下电路中常用于总线应用的有()

A. TSL门门 C. 漏极开路门与非门 得分:0 知识点:第三章 答案A 解析第三章其他类型的TTL与非门电路 3. (4分)如果异步二进制计数器的触发器为10个,则计数状态有()种 A. A:20 B. B:200 C. C:1000 D. D:1024 得分:0 知识点:第九章 答案D

解析第九章计数器 4. (4分)用n个触发器构成的计数器,可得到的最大计数模是() A. (A) n B. (B) 2n C. (C) 2n D. (D)2n-1 得分:4 知识点:第六章 答案C 解析第六章触发器电路结构和工作原理 5. (4分)如图x1-109 A. (A) B. (B)

C. (C) D. (D) 得分:0 知识点:第四章 答案C 解析第四章组合逻辑电路的分析6. (4分)如图x1-229 A. (A) B. (B) C. (C) D. (D) 得分:0 知识点:第五章

答案D 解析第五章译码器 7. (4分)如图x1-218 A. (A) B. (B) C. (C) D. (D) 得分:0 知识点:第十一章 答案C 解析第十一章数字系统概述8.

(4分)化简如图h-d-1-22 A. A B. B C. C D. D 得分:0 知识点:第三章 答案A 解析第三章逻辑代数基础/逻辑函数的化简9. (4分)如图x1-371

数字逻辑课程三套作业及答案

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器 4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A.n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293

(A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器

B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。 A. J=D,K=D非 B. B. K=D,J=D非 C. C.J=K=D D. D.J=K=D非

数字逻辑基础 作业及参考答案

第一章 数字逻辑基础 作业及参考答案 P43 1-7 列出下列问题的真值表,并写出逻辑函数表达式 (1)3个输入信号A 、B 、C ,如果3个输入信号都为1或其中两个信号为0,输出信号F 为1,其余情况下输出信号F 为0 。 (2)4个输入信号A 、B 、C 、D ,如果4个输入信号出现偶数个0时,输出信号F 为1,其余情况下,输出信号F 为0. (1)解:根据题意列出真值表如下:(2)解:根据题意列出真值表如下: ABC C B A C B A C B A F +++= ABCD D C AB D C B A D C B A D BC A D C B A D C AB D C B A F +++++++= 1-8 写出下列函数的反函数表达式和对偶函数表达式 解:(1)C AB F += C B A F ?+=)( C B A F ?+=)(' (2)C B A F +⊕= C B A F +⊕= C B A B A F ?+?+=)()(' (3)E BD AC D B A F )()(+++= ])()[()]([E D B C A D B A F ++?+?++= ])()[()('E D B C A BD A F ++?+?+= (4) CD A C B A B A F ++=)( )(CD A C B A B A F ++= )()('D C A C B A B A F ++?+++=

1-9 证明下列等式 (1)))(())()((C A B A C B C A B A ++=+++ 证明:))(())()((C B BC B A AC C B C A B A +++=+++ BC BC A B A AC ABC ++++=BC B A AC ++=))((C A B A ++= 证毕。 (2)E CD A E D C CD A ABC A ++=++++)( 证明:E D C CD A A E D C CD A ABC A )()(+++=++++ E D C A E D C D C A E D C CD A +++=++++=+++=)()( E CD A ++= 证毕。 (3)BC A BC D C A B A C A +=+++ 证明:BC B C A BC B A C A BC D C A B A C A ++=++=+++)( BC A BC BC A +=+=)( 证毕。 (4)D C D C B A D AC D C B D C A ⊕=+++⊕)( 证明:D C A D AC D C B D C A D C B A D AC D C B D C A +++⊕=+++⊕)()( )()()()(D C D C B D C D C A D C B D C A ⊕=+⊕=⊕++⊕= 证毕。 1-10 画出实现逻辑表达式BD E CD AB F ++=)(的逻辑电路图。 解:BD E CD AB F ++=)(BD CDE ABE ++=

第一章数字逻辑基础

第一章数字逻辑基础 [教学目的和要求] 1.要求掌握数字信号和模拟信号的区别;了解数字电路的含义、研究对象、特点与应用等; 2.要求了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;了解8421BCD码、Gray码的概念;掌握数、代码之间的相互转换; 3.掌握逻辑代数的三种基本运算; 4.掌握逻辑函数的四种表示方法(真值表法、逻辑式法、卡诺图法及逻辑图法)及其相互之间的转换。 [教学内容] 1.模拟信号与数字信号 2.数字电路的含义、研究对象、特点与应用 3.数制、不同数制间的转换;码制、数码之间的相互转换 4.逻辑代数的三种基本运算――与、或、非 5.逻辑函数的四种表示方法(真值表法、逻辑式法、卡诺图法及逻辑图法)及其相互之间的转换 1.1 模拟信号与数字信号 一、模拟信号 模拟信号是一种时间上和数值上都连续的物理量,从自然界感知的大部分物理量都是模拟性质的,如速度、压力、温度、声音、重量以及位置等都是最常见的物理量。 图1.1.1

频率为50Hz ,美国为60Hz。调幅波的射频信号在 530Hz~1600kHz之间。调频波的射频信号在880MHz~108MHz之间。甚高频(VHF)和超高频(UHF)视频信号在6GHz以上。 二、数字信号 1.二值数字逻辑和逻辑电平――二进制数正好是利用二值数字逻辑中的0和1来表示的。二值数字逻辑是Binary Digital Logic的译称。 与模拟信号相反,数字信号在时间上和数值上均是离散的,而离散信号的值只有真或假,是与不是,因此可以使用二进制数中的0和1来表示。需要注意的是这里的0和1指的是逻辑0和逻辑1。 应该注意的是逻辑电平不是一个具体的物理量,而是物理量的相对表示。 表1.1.1 逻辑电平与电压值的关系

数字逻辑课程三套作业及答案资料

数字逻辑课程作业A 、单选题。 1.(4 分)如图xl-229 某一译码器的输出端共有臼种不的组颌U其输入端備几个输入线? (A)3;(B J4;(0)5; 1D16 A.(A) B.(B) C.(C) D.(D) 知识点:第五章 解析第五章译码器 2.(4 分)如图xl-82 F图所示河一逻辑电路,八"是输入端,F是输出端,则其输出与输入关系式是, {AiiA+B}iC+ DiE; .B^A+B+C+p-FE); iC) (A +云)QO+童); (D)AB[CD+Ei (C ) A.(A) B.(B)

C.(C)

D.(D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为( A.N B.2N C.N2次方 D.2N次方 知识点:第九章解析第九章计数器 4.(4分)n个触发器构成的扭环型计数器中,无效状态有( B. B.2n C.C. 2n —1 D. D . 2n-2n 知识点:第九章 解析第九章集成计数器 5.(4 分)如图X1-293D )的计数器。 D )个。

在数字系统中其信号系 仅貝E与即高电位与低电位两种: 迢】依电压犬小不等而定; 依电流大小不等而定; ①〕看需要而定 A.(A) B.(B) C.(C) D.(D) 知识点:第十一章解析第十一章数字系统概述 6.(4 分)如图X1-317 和项#只式的基本架构矢何? | A A'A ND—MAXD ? IB i A XD—OR;(Q AND ― A.(A) B.(B) C.(C) D.(D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4 分)EPROM 是指( C ) A.A、随机读写存储器 B. B、只读存储器 C.C、光可擦除电可编程只读存储器R? (DiO罠一AND (D )

数字逻辑 第一章 作业参考答案

第一章 数字逻辑基础 作业及参考答案 (2008.9.25) P43 1-11 已知逻辑函数A C C B B A F ++=,试用真值表、卡诺图和逻辑图表示该函数。 解:(1)真值表表示如下: 输 入 输出 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 (2)卡诺图表示如下: 00 01 11 10 0 0 1 0 1 1 1 1 1 1 由卡诺图可得 C B C B A F ++==C B C B A ?? (3)逻辑图表示如下: 1-12 用与非门和或非门实现下列函数,并画出逻辑图。 解:(1)BC AB C B A F +=) ,,(BC AB ?= (2))+(?)+(=),,,(D C B A D C B A F D C B A +++= 题1-12 (1) 题1-12 (2) A BC

1-14 利用公式法化简下列函数为最简与或式。 解:(2)C AB C B BC A AC F +++=C AB C B BC A AC +??= C AB C B C B A C A ++?++?+=)()()( C AB C B C C B C A C A B A ++?++++=)()( C AB C C B C B C A C AB C A C B A C B A ++++++++= C AB C C B C B C A C AB C A C B A C B A ++++++++= C = 解(3)DE E B ACE BD C A AB D A AD F +++++++= DE E B BD C A A ++++= E B BD C A +++= 解(5)))()((D C B A D C B A D C B A F +++++++++= D C AB BCD A ABCD F ++=' D C AB BCD +=ABD BCD += D B AC D B A D C B F ++=)++)(++(=∴ P44 1-15利用卡诺图化简下列函数为最简与或式。 解:(3))+++)(+++)(+++)(+++(=D C B A D C B A D C B A D C B A F 方法1:)+++)(+++)(+++(=D C B A D C B A D C B A F ))((D C B A D CD D A D C C A D B C B B B A AD AC B A ++++++++++++++= ))((D C B A D C A B AC ++++++= D C BD AD D C A C A C B A D B C B B A D AC ABC AC +++++++++++= D C BD AD C A D B C B B A AC +++++++= 方法2:D C AB CD B A D BC A F ++= 00 01 11 10 00 0 0 1 0 01 0 0 0 1 11 1 0 0 0 10 F 的卡诺图 AD D B BD C A AC F ++++= AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 0 11 0 1 1 1 10 1 1 1 1 F 的卡诺图 AB CD

第1章:数字逻辑基础

一:填空题 1:在数字电路和计算机中,只用和两种符号表示信息。 2:数字电路只有、和三种基本电路。 3:十进制数26.625对应的二进制数为;十六进制数5FE对应的二进制数为。 4: (100101010011.00110111)8421BCD表示的十进制数为。 5:描述逻辑函数各个变量取值组合和函数值对应关系的表格叫,用与、或、非等运算表示函数中各个变量之间描述逻辑关系的代数式叫。 6:任意两个最小项之积恒为;全体最小项之和恒为。

7:逻辑函数CD =,其反函数 F+ A B F,其对偶式=F。 = 8:函数ADE + + =的最简与或式 F+ D C AC B A 为。 9:8421BCD (10010000.1000)=( )2. (0010 0111)+5421BCD 10:有函数() F AC BC B A C =++⊕,其最简与或表达式为F=( ). 11:F(A,B,C,D)=∑m(0,4,6,8,13)+∑d(1,2,3,,9,10,11), 其最简与或表达式F=( ). 12:8421 ()=( )2。 10010111.0101BCD 13:有函数F AB AC BC ACD =+++,其最简与或表达式为F=( ). 14:F(A,B,C,D)=∑m(0,1,8,10)+∑

d(2,3,4,5,11), 其最简与或表达式为F=( ). 15:将下列二进制数转换为十进制数(0.1001)2 = 16、将下列十进制数转换为二进制数(49) = 10 17:将下列二进制数转换为十进制数(11011)2 = 18:将下列十进制数转换为二进制数(52.625)10 = 19:若CD F+ =,则='F,=F A B 20:若D (+ + + =,则其最简与或表达式 F) B C B AD C A 21:若BC + + A F+ =,则='F,=F A B C 22:若) F+ B + + D =,则其最简与或表达 + B D )( D (B D C A 式 二:单项选择题 1:表示一个两位十进制数至少需要()位二进制数。 A:5 B:6 C:7 D:8

相关文档
最新文档