电梯控制器设计(终结版)

电梯控制器设计(终结版)
电梯控制器设计(终结版)

河南机电高等专科学校《可编程逻辑器件原理与应用》

课程设计报告

电梯控制器的设计

专业班级:医电131

学号:130411116

姓名:徐长伟

时间:2015年6月

成绩:

电梯控制器的设计

医电XX级X班 XXX 任课老师:石新峰

摘要:随着社会的发展,电梯的使用越来越普遍,已从原来只在商业大厦、宾馆使用,过渡到在办公楼、居民楼等场所使用,并且对电梯功能的要求也不断提高,相应地其控制方式也在不停地发生变化。对于电梯的控制,传统的方法是使用继电器—接触器控制系统进行控制,随着技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。随着经济建设的持续高速发展,我国电梯需求量越来越大,电梯生产已成为我国一门极具前景的新兴产业。

针对我国电梯行业的现状,本设计以电子设计自动化EDA技术中的高速集成电路硬件描述语言VHDL编写6层电梯控制程序,实验平台为Altera公司的QuartusII程序。

关键词:电梯控制、EDA、VHDL、QuartusII

目录

一、概述 (3)

1.1方案确定 (3)

1.2设计依据 (3)

二、设计要求 (5)

三、总体构思 (6)

3.1构思 (6)

3.2总体设计 (6)

四、硬件电路设计 (7)

4.1模块电路图 (7)

4.2模块设计分析 (9)

五、软件设计 (10)

六、下载及调试................................................................................... 错误!未定义书签。

七、总结与展望 (14)

参考文献 (14)

附件程序 (14)

一、概述

人和土地资源短缺的矛盾日趋激化,我国地少人多的国情,注定了我们必须合理解决人与土地的矛盾。兴建高层建筑是其中的有效措施之一,随之楼层电梯业便应运而生。随着我国城镇化程度的加大,电梯市场越来越繁华,人们对电梯的要求越来越高。如何更安全、更快捷地到达目的楼层,也就成为了人们对电梯的最为根本的要求。因此,本设计就六层电梯控制器设计为例,结合EDA技术,对电梯控制进行设计。

1.1方案确定

目前用电梯控制的方案有三种:EDA技术在电梯控制的应用、单片机技术在电梯控制的应用、PLC技术在电梯控制的应用。

EDA技术不是某一学科的分支,或某种新的技能技术,它是一们综合性学科,融合多学科于一体,打破了软件和硬件间的壁垒,使计算机的软件与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。VHDL具有与具体硬件电路无关和设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。EDA使得设计者的工作仅限于利用硬件描述语言和EDA 软件来完成对系统硬件功能的实现。正因EDA在设计控制系统中的这些特点,在电梯控制电路上采用EDA技术进行开发,越来越受到人们的重视。

对于符合市场需求的大规模系统,要达到高效、高速完成,必须有多人甚至多个开发组共同并行工作才能实现。对于用EDA技术完成的一个确定的设计,可以利用相应的工具平台进来逻辑综合和优化,完成设计任务。基于EDA技术的VHDL语言对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。这为电子设计的入门者提供了便捷的帮助。相信在不远的将来,我国相关的专业技术人员使用EDA技术进行工程设计,就像现在使用计算器一样,虽然大部分人不能开办集成电路制造厂,但是却能快速、经济地制造(设计)自己的专用集成电路或集成电子系统。

所以本设计采用EDA技术实现对电梯的控制。

1.2设计依据

现代电子设计技术的核心是EDA技术。基于EDA技术开发的实现六层电梯自

动控制与目前主流的利用可编程逻辑控制器实现电梯控制紧密相连。硬件描述语言是EDA技术的重要组成部分,VHDL是作为电子设计主流硬件的描述语言。使用VHDL语言进行程序设计,在QuartusII软件上对程序进行编译、仿真。在QuartusII平台上开发具有易学易懂、控制灵活方便、抗干扰能力强、运行稳定可靠等优点。

1.2.1 EDA

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA 技术的迅速发展。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。

1.2.2 VHDL

VHDL(Very High Speed Integrated Circuit Hardware Description Language)语言于1983年由美国国防部发起创建,由电工和电子工程师协会(the institute of electrical and electronics engineer)进一步发展并在1987年作为“IEEE1076”发布。从此,VHDL成为硬件描述语言的业界标准之一。

VHDL作为一个规范语言和建模语言,具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。VHDL具有与具体硬件电路无关和设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。

应用VHDL进行系统设计具有:功能强大、可移植性、独立性、可操作性、灵活性等特点。

一个完整的VHDL程序是以下五部分组成的:库(LIBRARY)、程序包(PACKAGE)、实体(ENTITY)、构造体(ARCHITECTUR)、电路的具体描述配置

(CONFIGURATION)。

1.2.3 QuartusII

Altera公司的QuartusII软件提供了可编程片上系统(SOPC)设计的一个综合开发环境。Quartus II 开发工具人机界面友好、易于使用、性能优良,并自带编译、仿真功能。QuartusII软件支持VHDL和Verilog硬件描述语言的设计输入、基于图形的设计输入方式以及集成系统级设计工具。QuartusII软件可以将设计、综合、布局和布线以及系统的验证全部都整合到一个无缝的环境之中,其中也包括和第三方EDA工具的接口。QuartusII设计软件根据设计者需要提供了一个完整的多平台开发环境,它包含整个FPGA和CPLD设计阶段的解决方案。

在实际应用设计中,对程序原理性及可执行性的验证主要集中在程序修改阶段,尤其在处理的数据复杂、繁多时,Quartus II自带的波形输入仿真就很难实现程序的验证,而且输出的数据不能方便的以波形图示直观的呈现,给程序设计者在校验程序阶段带来了很多的不便。再有,在很多数字电路设计中,考虑成本的问题,FPGA实现的往往是设计的核心部分,而很多的外围电路如A/D转换器、D/A转换器等仍然使用传统的接口芯片来实现。而QuartusII 设计只是针对数字信号,并不支持模拟量的输入。而仅仅为了便于程序的验证而用FPGA实现这些外围电路,不但会大大延长程序的开发周期,更会增大开发的成本。而MATLAB 具有强大的运算功能,可以容易的实现A/D、D/A转换等外围电路功能,并能以波形形式将结果直观地呈现,极大地方便了程序设计人员设计应用系统。

二、设计要求

使用FPGA完场6层的电梯控制系统。可以使用状态机实现。要求指示电梯所在楼层位置等其他必要的信号。通过仿真结果验证其正确性,并在开发板上进行硬件测试。

电梯运行规则:上升时,响应比当前位置高的上楼要求,由下往上逐个执行;如果楼层有下楼请求,直接升到由此请求的最高层,然后进入下降模式。进入下降模式后,只响应比当前位置低的下楼请求,由上到下逐个执行。每1秒上升或者下降1层。有信号灯指示电梯处于上升或者下降状态,并有数码管显示电梯到达层数。每一层有信号灯指示该层电梯门状态,有两个按键分别响应上升或下降的求。每一层电梯内部有乘客到达楼层的停站请求开关及其显示。电梯到达有停站请求的楼层后,电梯门打开,指示灯亮,4秒后电梯门关闭,指示灯灭,直

至执行完。最后停在发出最后一个请求的楼层。电梯的初始位置为一层,处于开门状态。

三、总体构思

3.1构思

除了顶层和底层外,各楼层均设有上下请求开关,顶层和底层分别设有下降和上升请求开关,电梯内设有乘客到达层次的请求开关。电梯每1s上升或下降一层,电梯到达有停站请求的楼层后,经过1s后电梯门打开,开门指示灯亮,开门5s后电梯指示灯灭,电梯继续运行,直至运行完最后一个请求后停靠在当前层。

以上是我们所应实现的基本功能。通过分析以及参考图书馆的有关书籍,参照一些网上的程序,最后总结出了电梯正常运行的七个状态:上升、下降、上升的过程中途停止、下降的过程中途停止、开门、关门、等待状态。电梯在上述七个状态间的转移是通过三段式状态机来实现的,各状态间的转移大体与生活中的电梯运转一致,有如下的基本原则:

方向为第一优先准则,电梯在运转时先响应同方向上的请求,只有当同方向上的请求响应完后,才能转而响应不同方向上的请求。

初始化状态为1楼等待门是关闭的。

3.2总体设计

这里采用了七个状态实现了有限状态机。

图3-2 电梯状态转换图

以上是两种基本的电梯状态转换图:

黑线:WAIT TO UP TO UPSTOP TO OPENDOOR TO CLOSEDOOR TO WAIT

粉红线:WAIT TO DOWN TO DOWNSTOP TO OPENDOOR TO CLOSEDOOR TO WAIT

四、硬件电路设计

4.1模块电路图

4.1.1电梯主控制器模块

图4-1-1 电梯控制模块

端口说明:call_down_2,

call_down_3, call_down_4, call_down_5, call_down_6则分别为2-6楼的下行请求信号;request_1, request_2, request_3, request_4, request_5, request_6则分别为电梯内部的停靠1-6楼的请求。

上述各端口均为有请求时,输入为高电平,否则为低电平;clk分别为状态转移时钟,reset为复位信号。

Output Port:

PosOut输出当前电梯所在的楼层,DoorFlag为开门标志,UpDnFlag为电梯上下标志,LiftState输出当前电梯的状态.

PosOut取值可

6'b000001,6'b000010,6'b000100,6'001000,6'b010000,6'b100000分别代表电梯处在1,2,3,4,5,6楼。这样编码的话,有利于后面的比较判断。

DoorFlag取值可为1'b0,1'b1,分别代表当前门是关闭和当前门是打开的。UpDnFlag取值可为2'b00,2'b01,2'b10,分别代表当前电梯是上升的,下降的和静止的。

LiftState7'b0000001,7'b0000010,7'b0000100,7'b0001000,7'b0010000,7'b01 00000,7'b1000000,分别表示电梯处于等待模式、上升模式、下降模式、上升停止,下降停止、开门和关门等7个状态。

4.1.2分频模块

图4-1-2分频模块

端口说明:

Input ports:cp_50M;

output ports:cp_1;

4.1.3 电梯状态仲裁器

图4-1-3状态仲裁器

端口说明:

Input ports:elevator_state,count_in;

Outputports:open_enable, stop_enable, up_enable, down_enable, close_enable;

4.1.4 LCD驱动模块

图4-1-4驱动模块

端口说明:

Input ports:input open_enable, stop_enable, up_enable, down_enable, close_enable;

input CLOCK_50; // 50 MHz

input KEY;

output ports:

inout [7:0] LCD_DATA; // LCD Data bus 8 bits

output LCD_ON; // LCD Power ON/OFF

output LCD_BLON; // LCD Back Light ON/OFF

output LCD_RW; // LCD Read/Write Select, 0 = Write, 1 = Read output LCD_EN; // LCD Enable

output LCD_RS; // LCD Command/DataSelect, 0= Command, 1 = Data 4.2模块设计分析

4.2.1电梯主控制器模块

此段智能电梯控制器由三个重要部分组成的。

1.信号并置部分:完成对5路向上请求、5路向下请求、6路内部请求的信号并置,化繁为简。

2.三段式有限状态机部分:在有请求的情况下,电梯控制器还要根据电梯

的当前状态和当前的楼层去判断电梯的下一步该如何运作。

3.计数器部分:完成电梯的开门、关门的时间管理。

4.2.2分频模块

这段分频器完成对50Mhz的1分频操作。采用传统的“一半就翻转”的计数技巧。

4.2.3电梯状态仲裁器

完成电梯信号到LCD控制的信号转换。其中也采用了“电梯主控制器”中的信号并置的思想。这一点可以在我的程序中十分清楚的看到,在此我不再赘述。

4.2.4 LCD驱动模块

这个模块我是采用“拿来主义”的。因为是DE2板子提供的源程序,所以编写起来还算比较轻松。就只加了一个“根据不同的输入产生不同的输出”的模块。中途还遇到了字符型液晶不能更新的问题,不过在同学的帮助下,最终还是解决了。

4.2.5数码管译码模块、数码管时间译码模块

以上两个模块一起讲比较合适,它们都是采用了同样的译码原则,只不过条件不一样而已。我们可以针对不同的输入根据自己的意愿把它译成同样的数码显示。

六、下载及调试

1.当电梯处于初始状态时,电梯在高层有向下的请求时:

图6-1初始态

2. LCD的显示由于数据太多,所以单独显示如下:

图6-2-1显示it is static..

随后会显示it is static..

图6-3-2 显示door is rising..

以上的字符发送到液晶显示模块之后,可以显示“door is rising..”随后会显示:

图6-2-3显示it is static..

可以显示“ it is static.. ”

图6-2-4显示door is opening..

以上的字符发送到液晶显示模块之后,可以显示“ door is opening.. ”

随后会显示:

图6-2-5显示it is closing..

以上的字符发送到液晶显示模块之后,可以显示“ it is closing.. ”

最后会显示下列字符,电梯重新回到初始等待状态:

图6-2-6显示it is static..

以上可以显示“ it is static.. ”

说明:由于LCD显示波形会占用比较多的空间,所以以上仅以在高层有向下的请求为例来说明,电梯的状态完全可以通过LCD来正确地显示出来。鉴于此,下面的波形将不展示LCD显示部分的波形图。

3.当电梯停在6楼处于等待状态时,在1楼和2楼同时有向上的请求时:

图6-3等待状态

4.当电梯停在1楼处于等待状态时,在6楼和5楼同时有向下的请求时:

图6-4等待状态

5.当电梯停在6楼时,有在5楼的向下的请求时,电梯应该先到五楼,电梯内部请求到1楼,如果电梯在下降的过程中,有在2楼的向上的请求时,电梯应该先

响应内部请求,然后响应外部请求:

图6-5状态响应

七、总结与展望

电梯控制器系统设计已经全部完成,基本实现了预期效果,实现了电梯按预定运行规则上升、下降、载客等功能,并设计了提前关门功能,使电梯运行更便捷,设计了关门延时功能、超载报警功能、故障报警功能,使电梯运行更加安全更加可靠。在本设计中,因为考虑了扩展性,所以在信号定义的时候就使用了二进制的向量,而不是整数。在设计方法上也做了特殊的设计,所以使得扩展性较好。如果要实现n层电梯的控制,首先在端口的地方就要加入所有的按键,而指示灯只要把向量中的6改成n就可以了。在本设计过程中还需要改进的地方有,电梯运行规则的优化(如设计闲时忙时控制规则)、电梯运行速度的控制、设计更多的报警功能等。电梯控制系统的设计中体现了VHDL覆盖面是如此的广,描述能力强,是一个多层次的硬件描述语言及运行速度快,使用方便,便于修改,设计简单等特点。本设计在实用方面和参考方面具有一定的价值。

参考文献

[1] 王伟.Verilog HDL 程序设计与应用.人民邮电出版社

[2] 夏宇闻数字系统设计:Verilog实现.高等教育出版社

[3] 王金明.数字系统设计与Verilog HDL.电子工业出版社

[4] 李彦宏.百度

附件程序

电梯主控制器模块

module elevator_controller(

//input ports:

cp_50M,clk,reset,forbid,

call_up_1,call_up_2, call_up_3, call_up_4, call_up_5,

call_down_2, call_down_3, call_down_4, call_down_5,call_down_6, request_1, request_2, request_3, request_4, request_5, request_6,

//output ports:

out,LiftState,over_alarm,count_out,count);

//output ports:

output [6:0]out;//输出楼层

output [6:0] LiftState;//输出电梯状态

output over_alarm;//出错

output [6:0]count_out;//计数器输出,便于我控制开关门的状态显示

output [2:0]count;//计数器

//input ports:

input cp_50M;

input clk,reset,call_up_1,call_up_2,call_up_3,call_up_4,call_up_5, call_down_2,call_down_3,call_down_4,call_down_5,call_down_6,

request_1,request_2,request_3,request_4,request_5,request_6;

input forbid;

wire over_alarm;

wire [6:0]out;

wire [6:0]count_out;

reg [5:0]pos,PosOut;

reg [2:0] count; reg DoorFlag;

reg [1:0] UpDnFlag;

reg [6:0] LiftState,NextState;

wire real_clk;

reg [5:0] up_all,down_all,request_all;

parameter WAIT=7'b0000001, UP=7'b0000010, DOWN=7'b0000100, UPSTOP=7'b0001000, DOWNSTOP=7'b0010000, OPENDOOR=7'b0100000, CLOSEDOOR=7'b1000000;

parameter FLOOR1=6'b000001, FLOOR2=6'b000010, FLOOR3=6'b000100, FLOOR4=6'b001000, FLOOR5=6'b010000, FLOOR6=6'b100000;

parameter TRUE=1'b1, FALSE=1'b0;

parameter OPEN=1'b1, CLOSED=1'b0;

parameter UPFLAG=2'b01,DNFLAG=2'b10,STATIC=2'b00;

assign real_clk=(clk&(~forbid));

always @(call_up_1 or call_up_2 or call_up_3 or call_up_4 or call_up_5) up_all={1'b0, call_up_5, call_up_4, call_up_3, call_up_2, call_up_1};

always @(call_down_2 or call_down_3 or call_down_4 or call_down_5 or call_down_6)

down_all={call_down_6, call_down_5, call_down_4, call_down_3, call_down_2, 1'b0};

always @(request_1 or request_2 or request_3 or request_4 or request_5 or request_6)

request_all={request_6, request_5, request_4, request_3, request_2, request_1};

always @(posedge real_clk or posedge reset)

if(reset)

count<=0;

else if((NextState==OPENDOOR)&&(count<5))

count<=count+1;

else

count<=0;

display_decode h1(cp_50M,PosOut,out,over_alarm);

display_decode_count h2(cp_50M,count,count_out);

always @(posedge real_clk or posedge reset)

if(reset)

begin

LiftState<=WAIT;

end

else

LiftState<=NextState;

always @(LiftState or up_all or down_all or request_all or pos or count

or UpDnFlag)

case(LiftState)

WAIT:

begin

if(request_all>0)

begin

if((request_all&pos)>0)

NextState=OPENDOOR;

else if(request_all>pos)

NextState=UP;

else

NextState=DOWN;

end

else if((up_all&pos)||(down_all&pos))

begin

NextState=OPENDOOR;

end

elseif((up_all>pos)||(down_all>pos))

NextState=UP;

elseif(up_all||down_all)

NextState=DOWN;

else

NextState=WAIT;

end

UP:

begin

if((request_all&pos)||(up_all&pos))

NextState=UPSTOP;

elseif((request_all>pos)||(up_all>pos))

NextState=UP;

else if(down_all>0)

begin

if((down_all>pos)&&((down_all^pos)>pos))

NextState=UP;

elseif((down_all&pos)||(pos

NextState=UPSTOP;

elseif((down_all&pos)&&(pos==FLOOR6))

NextState=DOWNSTOP;

else NextState=DOWN;

end

else if(request_all||up_all) //只有当前楼层之

//的停靠或上升请求否

NextState=DOWN;

else

NextState=WAIT;//无任何请求,转为WAIT模式

end

//DOWN的状态转移请参见UP的状态转移

DOWN:

begin

if((request_all&pos)||(down_all&pos))

NextState=DOWNSTOP;

elseif(((request_all&FLOOR1)

((request_all&FLOOR2)

((request_all&FLOOR3)

((request_all&FLOOR4)

((request_all&FLOOR5)

((request_all&FLOOR6)

NextState=DOWN;

else if(((down_all&FLOOR1)

((down_all&FLOOR2)

((down_all&FLOOR3)

((down_all&FLOOR4)

((down_all&FLOOR5)

((down_all&FLOOR6)

NextState=DOWN;

else if(up_all>0)

begin

if(((up_all&FLOOR1)

((up_all&FLOOR2)

((up_all&FLOOR3)

((up_all&FLOOR4)

((up_all&FLOOR5)

((up_all&FLOOR6)

else if((up_all&pos)&&(pos>FLOOR1))

NextState=DOWNSTOP;

else if((up_all&pos)&&(pos==FLOOR1))

NextState=UPSTOP;

else

NextState=UP;

end

else if(request_all||down_all)

NextState=UP;

else

NextState=WAIT;

end

UPSTOP:

begin

NextState=OPENDOOR;//停靠1时钟周期后开门

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

电梯控制器设计

单片机原理与应用技术课程设计报告(论文) 基于单片机的电梯控制器的设计 专业班级:电子132班 姓名:耿彦 时间:11.30——12.20 指导教师:苏珂珂 2015 年 12 月 18 日

电梯控制器课程设计任务书 1.设计目的与要求 1.1 基本功能 (1)显示:本设计要求实现6层控制,实时显示电梯所在楼层位置。 (2)升降控制:采用一台电动机的正反转来实现电梯的升降。 (3)具备不可逆响应的功能: 电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向呼叫均无效。 1.2 扩展功能 (1)可增加人性化的按键语音服务功能。 (2)可增加遥控或感应操作功能。 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出; 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

基于单片机的电梯控制器设计 电子132 耿彦 摘要:单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中52单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中常用的交通工具。本设计选择AT89C52为核心控制元件,设计了一个六层电梯系统,使用C语言进行编程,实现运送乘客到任意楼层,并且实时显示电梯的楼层和电梯上下情况。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词:电梯、AT89C52 、LED显示、电机正反转控制、楼层显示 1 引言 随着人们生活水平的不断提高和国名经济的迅速发展。各大城市建筑物在不断向高层化发展。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。因此电梯控制技术也在不断的进步和完善,常用的控制技术主要的有两种技术:基于PLC控制和基于单片机控制两大技术。用PLC控制的电梯性能可靠、稳定,但是造价太高。基于单片机控制的电梯可以大大的降低成本而且运行也较可靠,所以现在电梯控制中大多数采用单片机控制。 本文基于单片机89SC51来控制各部分电路,采用单片机构成控制系统,可大大降低成本,而且做成专用控制系统,程序被固化,加强了保密性,提高了可靠性。 2 总体设计方案 电梯控制系统由外部呼叫,内部呼叫,电机控制电路,楼层显示部分等组成。电梯在各楼层的定位本应采用行程开关或者传感器,由于条件限制,采用延时控制。相邻楼层间升降时间设为5秒。 2.1 设计思路 本设计的总思想是,用开关按钮做单片机的控制端来做作为输入信号,说明人在那一层,用七段数码管来显示电梯位置。当电梯到达要求的楼层时,停止、开门,并继续查询有无呼叫信号,如此循环,同时可以利用单片机外部的复位按钮使电梯复位。用单片机来控制电机的正反转来运行电梯的上、下,用发光二极管来显示电梯是上升还是下降,并且整体电梯全部采矩阵键盘来实现。由于设计中有不可逆控制,当上升或下降过程中,只相应同方向的呼叫相应。 2.2 总体设计框图 本电路主要由5大部分电路组成:键盘电路、单片机最小系统电路、楼层显示电路、电机状态显示电路、电机控制电路。其中单片机最小系统主要由复位电路和时钟电路组成。电路复位后楼层显示数字1 表示电梯此时在一楼,显示电路通过74ls245串入并出驱动8位数码管显示,电梯楼层位置是由延时电路控制的,每层之间通过3秒延时控制即每延时3秒表示电梯走了一层。电梯状态是通过两个发光管显示的,绿灯亮表示电梯在向上运行,黄灯亮表示电梯在向下运行。键盘电路采用4×4矩阵键盘(共16个按键),其中10个按键是各层楼外呼按键,6个表示电梯内部的选择键。电梯的正常工作是通过对单片机写入程序控制的。总体设计方框图如图一所示:

电梯控制智能化系统设计方案

精选范文、公文、论文、和其他应用文档,希望能帮助到你们! 电梯控制智能化系统设计方案 目录 第一章概述 (2) 第二章系统需求分析 (4) 第三章系统设计目标及原则 (5) 3.1系统设计目标 (5) 3.2系统设计原则 (6) 第四章系统解决方案及技术描述 (6) 4.1系统概述 (6) 4.2系统基本功能及特点 (7) 4.3系统结构 (8) 第五章设备介绍 (10) 第六章工作原理 (12) 第七章系统设备清单及价格 (14) 第八章工程实施 (15) 第九章售后服务 (17)

第十章质量保证 (19) 第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出 进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以

通过采用对电梯的合理控制实现这种功能需求。VD-TK800是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用VD-TK800对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。 VD-TK800基于控制软件平台使用的一个控制模块,它与ACS2002门禁控制系统相互兼容,组成一个强大的保安系统网络,也可以独立使用来控制电梯。VD-TK800可在线运行,可以单机独立运行,即使关闭PC机,VD-TK800也可以正常使用,确保其稳定可靠的控制功能,从而提高楼宇管理层次。 VD-TK800/E智能电梯控制器

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

智能电梯控制器的设计

电子技术课程设计报告 智能电梯控制器的设计 班级自动化1306 学号 姓名 日期2016-6-20

目录: 一.课程设计题目 (3) 二.问题分析 (4) 1.方向优先的电梯调度 (4) 2.电梯的状态 (4) 3.状态编码方案 (4) 三.状态机 (5) 四.系统输入与输出 (6) 五.系统框图与系统设计 (7) 1.系统框图 (7) 2.系统设计 (8) 六.波形仿真 (9) 1.请求处理 (9) 2.状态转换 (10) 3.楼层推进 (11) 4.电梯运行的优先级 (12) 5.复位信号reset (13) 6.锁定禁止运行按键forbid (13) 七.程序源代码及注释 (14) 八.引脚分配 (26) 六.心得与体会 (27)

一.课程设计题目 设计内容与要求: ①楼层的高度大于等于6,根据降低运行成本的原则,设计并实现 一个以方向优先电梯调度算法。 ②要求能够使用按键模拟对电梯的控制,为了便于观察,将电梯所在 的楼层(1~6)用数码管显示出来,将电梯的上下楼状态(上,下,开 门,关门,静止)用发光管或数码管显示出来,并且能够实现对电 梯实现锁定禁止运行。 ③画出电梯控制器的状态机,写出状态编码方案。 ④用Verilog语言对设计进行描述,并下载到实验板上调试成功,适 当增加比较符合现实的控制限制。 ⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后 作简要说明。并谈谈此次实验的收获、感想及建议

二.问题分析 1.方向优先的电梯调度 电梯有三种运行状态,分别是上升、下降和静止等待。 出于降低成本的考虑,电梯在某一方向运行时,要完成该方向上所有的请求后,才能变换运动方向去响应反方向的请求。 电梯上升时,优先响应电梯现在楼层以上楼层的上升、下降和楼层选择信号;电梯下降时,优先响应电梯现处楼层以下楼层的上升、下降和楼 层选择信号;电梯处于等待状态时,响应收到的第一个请求信号。 因此,电梯运行方向的判断要综合考虑三种请求信号、电梯当前所处楼层和电梯当前的状态等多种因素。 2.电梯的状态 电梯运动过程中,有五种基本状态:上升,下降,开门,关门,静止。 考虑到电梯停止在某一楼层等待请求信号的状态为静止状态;另外,电梯运动过程中暂时停靠在某一楼层,开门后需要等待一段时间,这段时 间电梯也没有动作,这时电梯也是“静止”状态。若只用一个状态表示两 种不同的静止情况,需要增加一个电梯的“开/关门标志”来区分两个“静 止”状态。 因此,为了简化逻辑,在5个基本状态的状态编码中增加一个状态,用一个新状态(OPENWAIT)来表示开门情况下的静止状态。 最终,电梯的状态机中共有6个状态。 3.状态编码方案 从数字电路设计的理论课中,我们学到,在进行状态编码时,若系统中存在多个状态,为了增强系统的稳定性。可用二进制位数与状态数相同 的变量来表征系统状态。 因此,电梯的状态用一个6位的二进制数currentstate[5:0]来表示。 6个状态分别编码为: STATIC=6'b000001, UP=6'b000010, DOWN=6'b000100, OPEN=6'b001000, CLOSE=6'b010000, OPENWAIT=6'b100000; 这样,每次状态发生变化时,只用检测状态变量中的的某一位二进制数即可知道电梯处于哪一状态。如要检测电梯是否处于OPEN开门状态, 只需检测currentstate[3]是否等于1即可。

电梯控制系统设计方案

上海四景计算机信息科技有限公司 电 梯 控 制 系 统 方 案

上海四景计算机信息科技有限公司 舒特电梯智能控制系统 ---楼宇自动化的首选 前言: 系统概述: 随着高科技的蓬勃发展,智能化管理已经走进了人们的生活。物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。所有的电梯楼层,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。针对这些需求我们开发了电梯楼层控制器,并分为手动型和自动型两款,客户可以根据需求选择适合自己的产品。 通过智能卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级,电梯系统智能化控制已逐渐成为智能化建筑楼宇中必不可少弱电系统之一 二、选择使用电梯控制系统带来的好处 (一)使用梯控制系统可有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现各种功能需求。 (二)使用梯控制系统能够提高楼盘的整体智能化程度,提升楼盘亮点和档次,充分体现智能化楼宇和智能化小区意义,是楼盘更具附加值。 (三)使用梯控制系统能够使公共电梯轻松晋级为私有电梯,能够让业主充分体现私有电梯的尊贵和方便性。 (四)使用梯控制系统能够为用户提供更方便和更公平使用。 (五)协助收取物业费 管理人员可对系统的用户卡设定使用权限,设定失效日期,便于控制管理费用的收取。 如用户使用到达使用的失效时间,则不能开梯,提醒并促使用户到达管理处及时缴费,对于不按时交纳物业费的业主,则不能使用电梯,有效的将管理费用与用户使用权限挂

EDA电梯控制器课程设计报告

成绩评定表

课程设计任务书

摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。 关键词:EDA技术电子信息通信自动控制

目录 1、设计要求概述 (1) 1.1 设计要求 (1) 1.2 总体设计思路 (1) 1.3 具体设计思路 (2) 2、功能模块整体结构设计 (2) 2.1 电梯控制器功能 (2) 2.2 电梯控制器设计 (3) 3、各模块详细设计 (3) 3.1底层模块设计 (3) 3.2 顶层模块设计 (6) 4、逻辑仿真与时序仿真的实现 (12) 4.1 底层设计模块的方针及参数设置 (12) 4.2 电梯分层控制模块的仿真及参数设置 (13) 4.3电梯主控制器仿真波形 (15) 5、设计结论 (15) 5.1 设计功能实现情况 (15) 5.2 设计心得 (16) 6、参考文献 (17)

1 电梯控制器的设计要求与设计思路 1.1 设计要求 十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。 设计要求如下: (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。 (7)电梯初始状态为一层开门状态 1.2 总体设计思路 实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。 (2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。 (3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

2019年电梯控制智能化系统设计方案.

2019年电梯控制智能化系统设计方案 目录 第一章概述 (2) 第二章系统需求分析 (3) 第三章系统设计目标及原则 (4) 3.1系统设计目标 (4) 3.2系统设计原则 (4) 第四章系统解决方案及技术描述 (5) 4.1系统概述 (5) 4.2系统基本功能及特点 (5) 4.3系统结构 (5) 第五章设备介绍 (7) 第六章工作原理 (8) 第七章系统设备清单及价格 (10) 第八章工程实施 (11) 第九章售后服务 (13) 第十章质量保证 (14)

第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。VD-TK800是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用VD-TK800对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员 进出记录都有据可寻。 VD-TK800基于控制软件平台使用的一个控制模块,它与ACS2002门禁控制系统相互兼容,组成一个强大的保安系统网络,也可以独立使用来控制电梯。VD-TK800可在线运行,可以单机独立运行,即使关闭PC机,VD-TK800也可以正常使用,确保其稳定可靠的控制功 能,从而提高楼宇管理层次。 VD-TK800/E智能电梯控制器

PLC课程设计四层电梯控制(1)

PLC 课程设计四层电梯控制 实训目的 1. 掌握复杂输入输出控制系统的程序编程技巧 2. 掌握四层电梯控制系统的接线、调试、操作 序号 名 称 型号与规格 数量 备注 1 实训装置 THPFSL- 2 1 2 实训挂箱 A19-1 1 3 导线 3号 若干 4 通讯编程电缆 SC-09 1 二菱 5 实训指导书 THPFSL-1/2 1 6 计算机(带编程软件) 1 自备 电梯的电气控制系统由控制装置,操纵装置,平层装置,和位置显示装置等部分组成。 其中控制装置根据电梯的运行逻辑功能要求,控制电梯的运行,设置在机房中的控制柜上。 操纵装置是由轿厢内的按钮箱和厅门的召唤箱按钮来操纵电梯的运行的。 平层装置是发出平 层控制信号,使电梯轿厢准确平层的控制装置。 所谓平层,是指轿厢在接近某一楼层的停靠 站时,欲使轿厢地坎与厅门地坎达到同一平面的操作。 位置显示装置是用来显示电梯所在楼 层位置的轿内和厅门的指示灯,厅门指示灯还用尖头指示电梯的运行方向 四、 控制要求 1. 总体控制要求: 电梯由安装在各楼层电梯口的上升下降呼叫按钮 ( U1、U2、D2、 UP DO 邮J SL4 SL3 SL2 SL DM4 LP3 S4 □ S2 I S3 □ $1 □ 1關 1 f ] S3 r \ S2 1 I SI f 'll 1 I W i i 03 i i □2 1 1 1丨〕 o o 1 1 | U2 i I I JI 1 1 S04 1 I SQ3 1 I 1 1 1 | SQ 2 ! 1 I 1 1 5Q1 1 I 1 1 L4 I I 1 1 L3 1 I 1 1 1 1 口 Ll i i UP i i DOWN 1 1 Q n. 1 1 I DW I A3 DN2 LP3 I 1 1 (1 1 1 Q | UP2 1 ■ I I JP1 I I SL4 1 1 SL3 1 I 1 1 1 | SL2 1 1 SL1 1 .1 + COM 04 U3 D3 U2 02 JI DM3 UP2

电梯控制系统设计设计说明

电梯控制系统设计设计说明

第 1 页共 3 页 编号: 毕业设计说明书 题目:电梯控制系统设计 院(系):电子工程与自动化学院 专业:电子信息科学与技术专业 学生姓名: 学号:0900840218 指导教师:李莉 职称:讲师 题目类型:理论研究实验研究工程设计√软件开发 2013年5月20日

第 3 页共 39 页 摘要 本设计主要利用AT89S52单片机,实现电梯控制系统的设计。单片机与电机驱动电路的结合完成了电梯基本的升降、楼层停靠、方向选择、时间控制等基本功能,研究并实现了在上位机的模式下通过LABVIEW的远程监测的方法,完成了系统样机的设计与制作。 本设计参照了通用电梯的设计标准,有良好的操作界面和通用的外部接口,具有人性化设计,实现较好的外设兼容性。同时在系统样机中完成的其它设计研究还包括,利用LED和蜂鸣器组成的简单电路实现电梯意外声光报警、利用数码管实现电梯楼层显示,利用4x4矩阵键盘实现电梯楼层按键选择,利用LED实现目的楼层的指示,利用MAX232串口电路实现串口通信,来监测电梯实时状态。样机使用的主要器件包括低功耗、高性能的AT89S52单片机,低功耗、低成本、低电压的MAX232,双全桥电机专用驱动芯片L298,共阴极八段数码管,4x4矩阵键盘等,通过比较合理的设计使样机系统基本达到了任务要求,并具有很高的性价比,硬件设计简单可靠。软件部分使用keil软件进行C语言程序编写,用proteus 7软件进行仿真调试。本设计中综合使用了数字电路、模拟电路、高频电路、单片机及编程、硬件逻辑描述、LABVIEW及其应用以及计算机辅助设计(CAD)等多方面的知识,软硬件结合,很好地完成了本科毕业设计任务要求并取得了良好的学习效果。 关键词:AT89C52;单片机;电梯控制系统; C语言

基于PLC的三层电梯控制系统设计 开题报告

工学院毕业设计(开题报告) 题目:基于PLC的三层电梯控制设计 专业:机械械设计制造及其自动化 班级:06级(2)班 姓名:石德龙 学号:2006664220 指导教师:陈娟 日期: 2010-6-7

安徽科技学院本科生毕业论文(设计)选题申请表 基本情况课题名称 教师姓名职称 课题来源 A.科研 B.生产 C.教学 D.其它课题类型 A.论文 B. 设计 选 题 理 由 签字: 年月日 指 导 教 师 意 见签字: 年月日 审 题 意 见 教学院(部)签章: 年月日安徽科技学院本科生毕业论文(设计)开题报告书

题目基于三层电梯的PLC控制 学生姓名石德龙指导教师陈娟职称副教授 一、本课题的研究背景及意义 (1)题目背景:随着城市建设的不断发展,楼群建筑不断增多,电梯在当今社会的生活中有着广泛的应用。电梯作为楼群建筑中垂直运行的交通工具已与人们的日常生活密不可分。实际上电梯是根据外部呼叫信号以及自身控制规律等运行的,而呼叫是随机的,电梯实际上是一个人机交互式的控制系统,单纯用顺序控制或逻辑控制是不能满足控制要求的,因此,大部分电梯控制系统都采用随机逻辑方式控制。传统的电梯运行逻辑控制系统采用继电器逻辑控制线路。这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术上发展来看,这种系统将逐渐被淘汰。如何解决电梯的可靠性、维护方便等问题已成为全社会关注的焦点和大众的迫切心声。 (2)题目研究的意义:目前,由可编程序控制器和微机组成的电梯运行逻辑控制系统,正以很快的速度发展着。采用PLC控制的电梯可靠性高、维护方便、开发周期短,这种电梯运行更加可靠,并具有很大的灵活性,可以完成更为复杂的控制任务,已成为电梯控制的发展方向,其许多功能是传统的继电器控制系统无法实现。 可编程控制(Programmable Controller)系统是专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,通过数字式或模拟式的输入输出控制各种类型的机械设备或生产过程。通过可编程控制器可以实现由继电器实现的逻辑控制功能,而且最主要的是可编程控制器的“可编程”功能,使得当改变电梯的控制功能时,只要更改程序即可,而不需要像继电器控制系统那样改变硬件和接线。 二、本课题国内外研究现状 传统的电梯控制系统主要采用继电器—接触器进行控制,其缺点是触点比较多,故障高,可靠性差、体积大、维修工作量大等缺点,正逐步被淘汰。世界上各主要PLC生产厂家几乎都有运动控制功能,它的运动控制功能广泛地应用于各种机械,如金切削机床、金属成型机械、电梯等;随着计算机控制的发展,近年来国外工厂

毕业设计基于plc的电梯控制系统设计课程设计

东北石油大学课程设计 2013年11月29 日

东北石油大学课程设计任务书 课程电气工程课程设计 题目基于PLC的电梯控制系统设计 专业姓名学号 主要内容: 为保证电梯运行既高效节能又安全可靠,必须改进电梯控制方式。根据顺序逻辑控制的需要发展起来的可编程控制器(PLC),它是专门为工业环境应用而设计的数字运算操作的电子装置。PLC处理速度快,可靠性高,能够保证电梯正常、安全、可靠地运行。同时,由于电机交流变频调速技术的发展,电梯的拖动方式己由原来直流调速逐渐过渡到变频调速,不仅能满足乘客的舒适感和保证平稳的精度,还可以降低能耗,节约能源,减小运行费用,本文将基于PLC的变频调速方法应用到电梯系统中。 参考资料: [1]叶安丽.电梯技术基础[M].北京:机械工业出版社,2007.65-80 [2]李秧耕,何乔治,何峰峰.电梯基本原理及安装维修全书[M].北京:机械工业出 版社,2003 [3]陈伟国.电梯的速度控制研究:硕十学位论文[D].杭州:浙江工业大学,2005 [4]李雪枫,武丽梅,李立新.电梯机械系统的动态特性分析[M].机械工程师,2007 [5]钟肇新,范建东.可编程序控制器原理及应用[J].广州:华南理工大学出版社,2002 成期限2013.11.18至2013.11.24 指导教师 专业负责人 2013年11 月29 日

目录 1 设计要求 (1) 2电梯设备简介 (1) 2.1电梯的分类 (1) 2.2电梯的主要参数 (1) 2.3电梯的安全保护装置 (2) 3 PLC电梯系统的选择及其控制系统的发展 (3) 3.1电力调速系统的应用与发展 (3) 3.2电机调速系统的设计 (3) 3.3异步电机的调速方法及经济技术比较 (4) 3.4井道信号系统的设计 (7) 3.5电梯控制系统的设计 (7) 3.6可编程控制器(PLC)的选型 (8) 3.7设计思路 (8) 4 系统软件开发 (12) 4.1电梯的自检状态 (12) 4.2电梯的正常工作状态 (12) 4.3系统的软件开发过程 (12) 4.4程序框图设计 (13) 参考文献 (15) 附录A电梯梯形图控制程序 (16)

智能电梯控制系统设计

湖南文理学院 课程设计报告 课程名称:自动化系统课程设计专业班级:自动化11班学号 学生姓名: 指导教师: 完成时间:2014年11月20日报告成绩:

目录

一、设计题目 智能电梯控制系统设计 二、设计要求 利用PLC与变频器实现电梯的变频调速控制,该电梯控制系统具有同时呼梯控制、各楼层单独呼梯控制、上升、下降运行控制、轿厢位置显示等功能,电梯至少五层以上。 三、电梯控制系统控制系统设计作用与目的 随着我国经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,电梯也已成为人类现代生活中广泛使用的运输工具。随着人们对电梯运行的安全性、舒适性等要求的提高,电梯得到了快速发展,其拖动技术已经发展到了调频调压调速,其逻辑控制也由PLC代替原来的继电器控制。 可编程控制器(PLC)因为稳定可靠、结构简单、成本低廉、简单易学、功能强大和使用方便已经成为应用最广泛的通用工业控制装置,成为当代工业自动化的主要支柱之一。电梯控制要求接入设备使用简便,对应系统组态的编程简单,具有人性化的人机界面,配备应用程序库,加快编程和调试速度。通过PLC对程序设计,提高了电梯的控制水平,并改善了电梯的电梯运行的舒适感。本文争对以上优点,对电梯运行进行了改进,使其达到了比较理想的控制效果。 四、所用设备及软件 本设计除了需要计算机,实验设备THPFSL-1/2还会用到两款软件:作图软件Altim Desinger、编程软件GX-developer。简介如表1所示。 表1 软件简介

系统总体结构原理图 主控制器是整个电梯的核心。不但要保证整个系统的稳定运行,而且要在极短的时间内对系统所有的任务进行响应。 其任务包括:接收、处理电梯的各种状态,并做出相应的动作,控制电梯的总体运行,实施对电梯驱动部分的控制,包括抱闸的松放、门机的开关、变频器低、中、高速的给出等控制。接收轿厢控制器送来的内选信号,执行内选外呼指令,向轿厢控制器、呼梯控制器发送楼层指示信号,实施安全保护等。为了实现电梯状态监控的需要,主控制器还加入了基于LCD显示的电梯参数设置、监控系统。 程序流程图

基于单片机的智能电梯控制系统设计

基于单片机的智能电梯控制系统设计

摘要 本文介绍了一种采用单片机STC89C52芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现四层电梯的智能控制,利用单片机编程简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。本设计实现了电梯控制系统所需的一些基本功能,能通过电梯内按键或者电梯外上升、下降按键选择楼层,数码管显示实时楼层数,LED显示实时电梯运行状态。原理图和PCB部分采用protel99se专业软件来设计,实现将设计产品化。本次设计更注重了把一些新的思路加入到设计中。主要包括采用了STC89C52芯片,使用C语言进行编程,使其具有了更强的移植性,更加利于产品升级。 关键词:STC89C52;电梯控制系统;protel99se;C语言

Abstract This paper introduces a design method of using STC89C52 chip for elevator control system, mainly describes how to use microcontroller programming to achieve the intelligent four storey elevator control, the design method of microcontroller programming simple and variable, shortens the development cycle, at the same time that the elevator control system smaller and more powerful. Some of the basic functions of the design and implementation of elevator control system required by the elevator, elevator buttons or rise, decline the key to select the floor, digital tube display real-time number of floors, LED display real-time operating state of elevator. Schematic and PCB design using Protel99SE software, the design of products. This design pays more attention to some new ideas into the design. Including the use of the STC89C52 chip, the use of C language programming, which has portability stronger, more conducive to the upgrading of products. Keywords: STC89C52; elevator control system; Protel99SE; C language

电梯控制系统(Java课程设计)内容

A1 系统描述 1.功能描述 本电梯系统用来控制一台运行于一个具有N层的大楼电梯,它具有上升、下降、开门、关门、载客的基本功能。 大楼的每一层都有: (1)两个指示灯这两个指示灯分别用于指示当前所在的层数和电梯的当前状态(上行、下行或停止); (2)电梯锁用于将本层的电梯门锁住,并使本楼层的电梯按钮失效,电梯里相应的按钮也失效,使得电梯不能也不可能停在本层; (3)按钮除了第一层和顶层,每一层都有两个按钮(上行、下行),乘客可以呼叫上楼或下楼,顶楼只有一个下楼按钮,而第一层只有一个上楼按钮。 电梯里面具有: (1)标示从“1”到“N”的N个按钮,用于让乘客选择所要的层数; (2)关门按钮当乘客按下此按钮时,电梯门如果开着将关上,否则不执行任何操作;(3)开门按钮当乘客按下此按钮时,电梯如果停在某一层,电梯门将打开,否则不执行任何操作; (4)超重测试和警报装置电梯的地面有超重感应装置,当电梯载重达到某一个值时,电梯“超重警报铃”发出超重警报,并且不执行关门命令。 2.关键实现方法描述 用“最大距离循环”来说明电梯的运行方式。也说是说,电梯处于上行状态时就一直上行直到不再有上行任务,电梯处于下行状态时就一直下行直到不再有下行任务。 用两个队列来实现电梯的调度,电梯根据这两个队列发送来的楼层号作为目的地进行运行。在上行队列中保存了所有的上行请求的楼层号(包括楼层的呼叫和电梯里的楼层按钮请求),即保存电梯上行时需要停的楼层号。队列排列规则是:高于或等于电梯当前所地楼层的上行请求的楼层号从小到大排在队列的前部分,低于电梯当前所地楼层的上行请求的楼层号从小到大排在队列后部分。如果新请求的楼层号被插在队列头时同时将这个楼层号发送给电梯作为它的目的地。在下行队列中保存了所有的下行请求的楼层号(包括楼层的呼叫和电梯里楼层按钮请求),即保存电梯下行时需要停的楼层号。队列排列规则是:低于或是等于电梯当前所在楼层的下行请求的楼层从大到小排在队列前部分,高于电梯当前所在楼层的下行请求的楼层号从大到小排在队列后部分。 当电梯正在上行时,如果上行队列的队列头改变时,系统将同时将这个新的队列头发送给电梯作为它的新目的地。有两种原因引起队列头的改变。 (1)新的请求插入到上行队列头。 (2)电梯到达某个楼层将这个楼层的请求从上行队列的队列头删除,后继的更高楼层号成为对列头。 当电梯正在下行时,如果下行队列的队列头改变时,系统将同时将这个新的队列头发送给电梯作为它的新目的地。有两种原因引起下行队列头的改变。 (1)新的请求插入到下行队列头。 (2)电梯到达某个楼层将这个楼层的请求从下行队列的队列头删除,后继的更高楼层号成为对列头。 在电梯上行过程中,当上行队列中没有比电梯当前所在的楼层更高的楼层号时,发送电梯目的地的开始从下行队列中取得。同样,在电梯下行过程中,当下行队列中没有比电梯当前所在的楼层更低的楼层号时,发送电梯目的地的开始从上行队列中取得。如此反复,当两个队

用VHDL语言设计电梯控制器

石家庄经济学院数字逻辑课程设计报告 题目电梯控制器的设计 姓名meng hao 学号 班号 3 班 指导老师 成绩 2011年6月 目录

1. 课程设计目的··································································································································· 2.设计任务 3.开发工具选择···································································································································3. 设计方案 ·········································································································································4.模块描述 ·········································································································································· 5. VHDL实现······································································································································· 6. 调试仿真 ··········································································································································· 7. 课程设计回顾总结 ······················································································································参考文献 ·········································································································································· 1. 课程设计目的

相关文档
最新文档