数字式秒表实验报告

数字式秒表实验报告
数字式秒表实验报告

《电子技术》课程设计报告

题目数字式秒表

学院(部)信息学院

专业电子信息专业

班级

学生姓名

学号39

12 月19 日至12 月30 日共2 周

指导教师(签字)

前言

如今,信息正是一个高度发展的产业,而数字技术是信息的基础,数字技术是目前发展最快的技术领域之一,数字技术在数字集成电路集成度越来越高的情况下,开发数字系统的使用方法和用来实现这些方法的工具已经发生了变化,但大规模集成电路中的基本模块结构仍然需要基本单元电源电路的有关概念,因此用基本逻辑电路来组成大规模或中规模地方法仍然需要我们掌握。

二进制数及二进制代码是数字系统中信息的主要表示形式,与,或,非三种基本逻辑运算是逻辑代数的基础,相应的逻辑门成为数字电路中最基本的元件。数字电路的输入,输出信号为离散数字信号,电路中电子元器件工作在开关状态。除此之外,由与,或,非门构成的组合逻辑功能器件编码器,译码器,数字分配器,数字选择器,加法器,比较器以及触发器是常用的器件。

与模拟技术相比,数字技术具有很多优点,这也是数字技术取代模拟技术被广泛使用的原因。

此次课设更是加深了我们对数字技术的理解和认识。

目录

题目摘要关键词设计要求 (4)

第一章系统概述 (5)

第二章单元电路与分析 (6)

2.1 秒信号发生器 (6)

2.1.1 555定时器的功能 (7)

2.1.2 555构成的多谐振荡器 (7)

2.1.3 多谐振荡器的仿真图 (8)

2.2 控制电路 (8)

2 .3 分、秒、毫秒计数器电路设计 (10)

2.3.1 选择计数器的方案 (10)

2.3.2 74LS161计数器的功能介绍 (11)

2.3.3 计数器最终连线图 (12)

2.4译码部分 (12)

2.4.1 译码器的基本原理 (12)

2.4.2 方案的提出 (12)

2.4.3 方案对比与选择 (13)

2.4.4 74LS48的功能介绍 (14)

2.5 数码管 (15)

2.5.1 七段数码管工作原理 (15)

2.5.2 七段数码管内部结构介绍 (15)

2.5.3 显示器匹配电路图 (16)

2.5.4 译码器与数码管匹配电路的仿真图 (16)

第三章总体电路图 (16)

第四章结束语 (17)

4.1 总结语 (17)

4.2 故障分析 (17)

参考文献 (18)

元器件明细表 (18)

鸣谢 (19)

收获与体会 (19)

评语 (20)

摘要

本次的设计任务是一个数字秒表,而秒表与普通的钟表不同,它的目的是对从某一时刻到另一时刻的时间间隔进行计时。

在翻阅相关资料后,我们把秒表的设计分成了三大部分:基准脉冲产生部分;控制部分和计数、译码、显示部分。

基准脉冲产生部分由石英振荡器和由计数器组成的分频器构成。在石英振荡器中,石英晶体的固有频率是1M Hz,即振荡器的输出为1M Hz的矩形脉冲。而分频器将1M Hz 分频为100 Hz的基准脉冲。

控制部分可由基本RS触发器和相应的开关组成。

计数、译码、显示部分中,将使用同步四位二进制加法计数器74LS161 来计数。74LS48是BCD-7段译码器/驱动器,专用于驱动LED七段共阴极显示数码管。

关键词基准脉冲计数译码数字显示

技术要求

1.秒表最大计时值为99分59.99秒;

2. 6位数码管显示,分辨率为0.01秒;

3 .具有清零,启动计时,暂停及继续计数等控制功能;

4.控制操作间不超过二个。

第一章系统概述

所为数字式秒表,所以必须有一个数字显示。按设计要求,须用七段数码管来做显示器。题目要求最大记数值为99,59,99,那则需要六个数码管。要求计数分辨率为0.01秒,那么我们需要相应频率的信号发生器。

选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。其核心部分使用六个74160计数器采用串联方式构成,这种连接方式简单,使用元器件数量少。由于555定时器的比较器灵敏度较高,输出驱动电流大,功能灵活,再加上电路结构简单,计算比较方便,所以CP 脉冲是由555多谐振荡器产生的。

数字式秒表实际上是一个频率(100HZ )进行计数的计数电路。由于数字式秒表计数的需要,故需要在电路上加一个控制电路,该控制电路清零、启动计时、暂停及继续计数等控制功能,同时100HZ 的时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。数字电子钟的总体图如图所示。由图可见,数字电子钟由以下几部分组成:555振荡器和分频器组成的秒脉冲发生器;防抖开关;秒表控制开关;一百进制秒、分计数器、六十进制秒计数器;以及秒、分的译码显示部分等

串电阻

串电阻

串电阻

第二章单元电路设计与分析

§2.1秒信号发生器

§2.1.1 555定时器的功能

555定时器组成及工作原理如下:

图2-1-1 555定时器电路结构图

如图2是555定时器电路结构的简化原理图和引脚标识。由电路原理图可见,该集成电路由下述几部分组成:串联电阻分压电路、电压比较器C1和C2、基本RS触发器、放电三极管T以及缓冲器G组成。(注释:编号555的内涵是因该集成电路的基准电压是由三个5kΩ电阻分压组成)

定时器的功能主要取决于比较器,比较器C1和C2的输出控制着RS触发器和放电三极管T的状态,R D为复位端。当R D=0时,输出U0=0,T管饱和导通。此时其他输入端状态对电路清零0状态无影响。正常工作时,应将R D接高电平。当控制电压输入端5脚悬空时,比较器C1、C2的基准电压分别是2Ucc/3和Ucc/3。如果5脚Uic外接固定

电压,则比较器C1、C2的基准电压为Uic和Uic/2。由图1中可知,若5脚悬空,当Ui6<2Ucc/3,Ui2Ucc/3时,比较器C1和C2输出均为高电平,即R=1, S=1.。RS触发器维持原状态,使Uo输出保持不变。

当Ui6>2Ucc/3,Ui2>Ucc/3时,比较器C1输出低电平,比较器C2输出高电平,即R=0,S=1,基本RS触发器置0,放电三极管T导通,输出Uo=0。

当Ui6>2Ucc/3,Ui2

综上分析,可得555定时器功能表如下表2-1-1所示:

表2-1-1

输入输出

R D Ui6 Ui2 Uo T状态

0 X X 0 导通

1 >2Ucc/3 >Ucc/3 0 导通

1 <2Ucc/3 >Ucc/3 不变不变

1 <2Ucc/3

§2.1.2 555构成的多谐振荡器

当接通电源Ucc后,电容C上的初始电压为0 ,比较器C1、C2输出为1和0,使Uo=1,使放电管T截止,电源通过R1、R2向C冲电。Uc上升至2Ucc/3时,RS触发器被复位,使Uo=0,T导通,电容C通过R2到地放电,Uc开始下降,当Uc降到Ucc/3时,输出Uo又翻回到1状态,放电管T截止,电容C又开始充电。如此周而复始,就可在3脚输出矩形波信号。

图2-1-2 555构成的多谐振荡器电路图

图2-1-3 555多谐振荡器工作波形

§2.1.3 多谐振荡器仿真图

根据设计要求,我们需要产生一个频率为100HZ的信号,由于f=1/T,带入可以算出R1=R1=4.7KΩ,在仿真软件上仿真的时候我们可以设置电阻为4.7KΩ,加上一个50Ω的电位器来调节脉冲信号的精确度。我们就可以得到一个频率为100HZ的脉冲了

§2.2 控制电路

1.启动和暂停控制开关

启动和暂停控制开关是由基本RS触发器构成的。基本RS触发器是由两个与非门交叉耦合而成的,是TTL触发器的最基本组成部分,其逻辑图如图1.8所示,它能够存储1位二进制信息,但存在R+S =1的约束条件。

基本RS触发器的用途之一是作无抖动开关。例如触发器是具有记忆功能的二进制

信息存储器件,是时序逻辑电路的基本器件之一。在图1.9(a )所示的电路中通过希望在开关S 闭合时,A 点电压的变化是从+5V 到0V 的清楚跃迁,但是由于机械开关的接触抖动,往往在几十毫秒内电压会出现多次抖动,相当于连续出现了几个脉冲信号。显然,用这样的开关产生的信号直接作为电路的驱动信号可能导致电路产生错误动作,这在有些情况下是不允许的。为了消除开关的接触抖动,可在机械开关与驱动电路间接入一个基本RS 触发器(如图1.10所示),把带RS 触发器的无抖动的开关称为逻辑开关。

而本设计电路中使用的即为图1.10(a )中所示的开关。在秒表的设计电路图中,启动和暂停控制开关电路如下图所示。

R1

10kΩ

R210kΩ

U1A

74LS00D

&

U2A 74LS00D &

VCC 5V J1Key = A

J2

Key = A

Q

Q

由图上图知,当开关J1和开关J2都打开时,基本RS 触发器的两输入端都是1,

触发器输出保持原状态不变。当开关J1闭合是,Q 输出1,基准脉冲可以输入到计数单元,秒表起动计时;当开关J2闭合是,Q 输出0,基准脉冲无法输入到计数单元,秒表

暂停计时。若要继续计时,合上开关J1即可。

2.清零开关的设计

清零功能的实现相对而言比较简单。把计数单元的所有74LS161的清零控制端Rd连接在一起,通过一个开关接地,需要清零时,闭合开关就行。

§2.3 分、秒、毫秒计数器电路设计

§2.3.1选择计数器的方案

这里我们选择用计数器74LS161芯片,通过反馈置数法构成100进制和60进制计数器。经方案论证,本课程计数器选择方案如下:

●100进制计数器

反馈置数法:将两片74LS161级联构成16×16=256进制计数器,然后用反馈置数法构成100进制计数器,下图为计数范围0—99的100进制计数器。

●60进制计数器

原理如100进制计数器。图如下

§2.3.2 74LS161计数器的功能介绍

74LS161是集成同步二进制计数器,该计数器具有同步预置、异步清零、计数和保持四种功能,且有进位信号输出端,可串接计数使用。它的引脚图和逻辑功能表分别见图2.3.1和表2-3-1。

图2.3.1 74LS161引脚图

表2-3-1 74LS161逻辑功能表

EP ET CP 功能

0 ××××清零

1 0 ××↑置数

1 1 1 1 ↑计数

1 1 0 ××保持

1 1 ×0 ×保持

2.3.3计数器最终连线图

§2.4 译码部分

2.4.1 译码器的基本原理

译码部分最主要的组成器件就是译码器了,译码器是将输入的二进制码转变为特定信输出的电路,译码是编码的逆过程。译码器也是一种多输出的组合逻辑电路。从原理上将,它是把N个输入变量变换为它所对应的M个输出状态。每输入一组二进制代码,在M个输出状态中最多有一个为“1”(其余为“0”)或者有一个为“0”(其余为“1”)。一次译码器中和输入二进制代码对应有输出信号的那条线显示有特定信号(和其他输出线不同)。例如,当输入某一单元地址码,译码器就将这组代码译出一个特定的信号(比如为“0”),送到要找的单元(往往送到单元的使能端),接着才能更换(写入)或取出(读出)单元中的内容,进行算术或逻辑运算。译码器的输入端数n和输出端数m有如下关系:2n m,2n=m时,称为全译码;当2n>m时,称为部分译码。

§2.4.2 方案的提出

方案一:利用7447七段显示译码器

7447七段显示译码器输出为低电平有效,用以驱动共阳极数码管。逻辑符号见图9,其功能表见表2。7447有4个BCD码输入端A、B、C和D,其中D为最高有效

位,A为最低有效位,它们分别与输出端口中的4位相连。7447的7个输出引脚a~g 直接与LED的相应引脚相连。当灭灯输入/动态灭灯输出(BI/RBO)开路或为高电平而试灯输入为低电平,则所有输出端都为1。BI/RBO是线与逻辑,作灭灯输入(BI)或动态灭灯(RBO)之用,或者兼为二者之用。

图2-4-1.7447显示译码器

方案二:利用74LS48显示译码器

74LS48是BCD-7段译码器/驱动器,输出高电平有效,专用于驱动LED七段共阴极显示数码管。其管脚功能如图2-4-1所示

图2-4-2. 74LS48管脚图§2.4.3 方案对比与选择

7447七段显示译码器输出为低电平有效,用以驱动共阳极数码管,而74LS48是BCD-7段译码器/驱动器,输出高电平有效,专用于驱动LED七段共阴极显示数码管。在软件Multisim仿真的时候出现错误,无法正常工作,所以为了得到正确的设计结果,我们选用74LS48显示译码器。

§2.4.4 74LS48功能介绍

74LS48除了有实现7段显示译码器基本功能的输入(DCBA )和输出(Ya ~Yg )端外,74LS48还引入了灯测试输入端(LT )和动态灭零输入端(RBI ),以及既有输入功能又有输出功能的消隐输入/动态灭零输出(BI/RBO )端。 其功能表如下表2-4-1

74ls48引脚功能表—七段译码驱动器功能表 十进数 或功能 输入

BI/RBO

输出

备注

LT RBI D C B A a b c d e f g 0 H H 0 0 0 0 H 1 1 1 1 1 1 0 1

1 H x 0 0 0 1 H 0 1 1 0 0 0 0

2 H x 0 0 1 0 H 1 1 0 1 1 0 1

3 H x 0 0 1 1 H 1 1 1 1 0 0 1

4 H x 0 1 0 0 H 0 1 1 0 0 1 1

5 H x 0 1 0 1 H 1 0 1 1 0 1 1

6 H x 0 1 1 0 H 0 0 1 1 1 1 1

7 H x 0 1 1 1 H 1 1 1 0 0 0 0

8 H x 1 0 0 0 H 1 1 1 1 1 1 1 9 H x 1 0 0 1 H 1 1 1 0 0 1 1 10 H x 1 0 1 0 H 0 0 0 1 1 0 1 11

H x 1 0 1 1 H

0 0 1 1 0 0 1 12 H x

1 1 0 0 H

0 1 0 0 0 1 1 13 H x 1 1 0 1 H 1 0 0 1 0 1 1 14 H x 1 1 1 0 H 0 0 0 1 1 1 1 15 H x 1 1 1 1 H 0 0 0 0 0 0 0 BI

x

x x x x x

L 0 0 0 0 0 0 0 2

RBI H L 0 0 0 0 L 0 0 0 0 0 0 0 3

LT L x x x x x H 1 1 1 1 1 1 1 4

由74LS48真值表可获知74LS48所具有的逻辑功能:

(1)7段译码功能(LT=1,RBI=1)

在灯测试输入端(LT)和动态灭零输入端(RBI)都接无效电平时,输入DCBA经74LS48译码,输出高电平有效的7段字符显示器的驱动信号,显示相应字符。除DCBA = 0000外,RBI也可以接低电平,见表2-4-1中1~16行。

(2)消隐功能(BI=0)

此时BI/RBO端作为输入端,该端输入低电平信号时,表2-4-1倒数第3行,无论LT 和RBI输入什么电平信号,不管输入DCBA为什么状态,输出全为“0”,7段显示器熄灭。该功能主要用于多显示器的动态显示。

(3)灯测试功能(LT = 0)

此时BI/RBO端作为输出端,端输入低电平信号时,表2-4-1最后一行,以及DCBA输入无关,输出全为“1”,显示器7个字段都点亮。该功能用于7段显示器测试,判别是否有损坏的字段。

(4)动态灭零功能(LT=1,RBI=1)

此时BI/RBO端也作为输出端,LT 端输入高电平信号,RBI 端输入低电平信号,若此时DCBA = 0000,表2-4-1倒数第2行,输出全为“0”,显示器熄灭,不显示这个零。DCBA ≠0,则对显示无影响。该功能主要用于多个7段显示器同时显示时熄灭高位的零。

2.5 数码管

§2.5.1 七段数码管工作原理

在这个部分我们用七段数码管(LED)来显示结果,七段数码管有七个发光段,即a.b.c.d.e.f.g,根据设计要求的需要,我们使用了四个无小数点显示和两个有小数点显示的数码管。它们分别如图2-5-1和2-5-2。

数码显示与发光段之间的对应关系如下表2-5-1所示。

表2-5-1

BCD码显示数码发光管BCD码显示数码发光管

0000 Abcdef 0101 acdfg

0001 Bc 0110

cdefg

0010 Abdeg 0111 Abc

0011 Abcdg 1000 Abcdefg

0100 Bcfg 1001 Abcfg §2.5.2 七段数码管内部结构介绍

七段数码管内部由发光二极管构成。在发光二极管两端加上适当的电压时,就会发光。

发光二极管有两种接法:即共阴极接法和共阳极接法,如下图2-5-3,2-5-4所示。

图2-5-3 图2-5-4 §2.5.3 显示器匹配电路图

本设计采用共阴数码管与74LS48匹配。其连接图如图2-5-5所示

图2-5-5

§2.5.4 译码器与数码管匹配电路的仿真图

第三章总体电路图

第四章结束语

§4.1 总结语

通过一周半的设计,总算是有了一个结果。方案和结果都让我们比较满意,完成了所有的设计要求:1.秒表最大计时值为99分59.99秒;2. 6位数码管显示,分辨率为0.01秒;3.具有清零、启动计时、暂停及继续计数等控制功能;4. 控制操作键不超过二个。在这次课题设计中,我们的整体思路主要是参考了老师的意见,然后进行不断的研究与探索而成的。实现了电路的最简洁,使电路图简单易懂。防抖开关的使用,使我们的电路更加的稳定,这是我们这次设计中一个比较大的亮点。但是,在这次设计过程中,我们也遇到不少的麻烦,经过多次反复的检查和排除,最终实现了部分功能。

§4.2故障分析

故障1:脉冲发生器(555定时器构成的多谐振荡器)没法实现0.01s的脉冲信号。

原因:参数不对。

排除方法:利用f=1.43/R1+2R2)C适当的选取定值电阻、电容的大小和可变电阻的最大阻值,其中,外加可调电阻,对其进行左右微调,以提高精度,最大限度的保证输出波形不失真。

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

“秒表测时”实验报告Word版

“秒表测时”实验报告 一、实验任务 利用秒表对电脑主机主要元件装配作业进行测时,计算标准时间 二、实验目的 1、掌握秒表测时技术; 2、掌握标准时间的制定原理、方法、程序和步骤; 3、学会正确划分各测时单元及其计时点,并学会确定正确的宽放率; 4、掌握必要的软件工具。 三、实验原理 1、秒表测时的定义 2、秒表测时的用途 3、测时单元的划分 四、实验设备、仪器、工具及资料 1、电脑主机 2、计算机 3、装拆工具、笔、纸、记录表格 4、秒表、计算器 五、实验过程 1、实验分组,每四人一组,两人负责装配产品,两人负责观测记录 2、收集资料,实验准备,布置工作地 3、划分操作单元,确定计时点 4、测时 采用连续法记录时间研究,在现场记录时用铅笔填写秒表读数“W.R”,见附件:时间研究表(一)。计算基本时间“B.T”。 4、填写时间研究表(二),剔除异常值,用三倍标准法决定正常值范围(正常值范围在x±3σ内)。 5、决定宽放时间 取宽放率为:15%。宽放时间=正常时间×宽放率

6、计算标准时间:标准时间=平均操作时间×评比系数+宽放时间 六、整理时间研究表(一)和时间研究表(二) 时间研究表(一)(现场记录)

时间研究表(二)(统计表)

七、绘制管制界限图 对每一个操作单元进行异常值剔除,选取其中一个操作单元绘制其管制界限图 1、剔除异常值 (1)、操作单元1: n X X n i i ∑== 1 11(其中n=8) 计算得69.71=X n X X n i i ∑=-= 1 2 111)(σ 计算得=1σ 1.48 正常值为σ3±X 之内,即在(3.25,12.13)之间,所以操作单元1无异常值 (2)、操作单元2: n X X n i i ∑== 1 22(其中n=8) 计算得=2X 6.79 n X X n i i ∑=-= 1 2 222)(σ 计算得=2σ0.28 正常值为σ3±X 之内,即在(5.95,7.63)之间,所以操作单元2无异常值 (3)、操作单元3: n X X n i i ∑== 1 33(其中n=8) 计算得=3X 6.42 n X X n i i ∑=-= 1 2 333)(σ 计算得=3σ0.32 正常值为σ3±X 之内,即在(5.46,7.38)之间,所以操作单元3无异常值 (4)、操作单元4: n X X n i i ∑== 1 44(其中n=8) 计算得=4X 6.55 n X X n i i ∑=-= 1 2 444)(σ 计算得=4σ0.90

数字式秒表实验报告

数字式秒表实验报告 摘要 本次设计任务是设计一个数字式秒表 经查阅资料后我把实验分为1.脉冲产生部分。2.电路控制部分。3.计数部分4.译码部分。5显示部分。 脉冲产生部分我选择555多谐振荡器,产生100Hz的脉冲。经参考资料,电路控制部分:启动和暂停控制开关使用由RS触发器组成的无抖动开关。 使用74ls160计数器计数,7447译码器驱动共阳极七段显示器。 实验要求 1.秒表最大计时值为99分59.99秒; 2. 6位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,暂停及继续计数等控制功能; 4.控制操作间不超过二个。 实验分析 数字式秒表,所以必须有一个数字显示。按设计要求,须用七段数码管来做显示器。题目要求最大记数值为99,59,99,那则需要六个数码管。要求计数分辨率为0.01秒,并且需要相应频率的信号发生器。 选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。经过查询资料,555多谐振荡器性能稳定,故采用555多谐振荡器。 数字式秒表是一个频率(100HZ)进行计数的计数电路。由于数字式秒表计数的需要,故需要在电路上加一个控制电路,该控制电路清零、启动计时、暂停及继续计数等控制功能,同时100HZ的时间信号必须做到准确稳定。数字电子钟的总体图如图所示。由图可见,

数字电子钟由以下几部分组成:555振荡器秒脉冲发生器,防抖开关;秒表控制开关;一百进制秒、分计数器、六十进制秒计数器;以及秒、分的译码显示部分等 七段显示器 译码器译码器译码器 100进制计数器 60进制计数器 100进制计数器 控制开关 555多谐振器 1. 555构成的多谐振荡器 555构成的多谐振荡器电路图

简易秒表设计实验报告

实 验 报 告 系别 信工系 专业 班级 姓名 学号 简易秒表设计 实验目的: 1、 熟悉Keil C51软件的使用方法及proteus 仿真软件的使用; 2、 综合运用所学的理论知识(数码管、按键),通过实践加强对所学知识的理解,具备设计单片机应用系统的能力。 3、 通过本次试验,增强自己的动手能力。认识单片机在日常生活中的应用的广泛性,实用性。 设计要求: 制作简易秒表,用三个按键分别实现秒表的启动、停止与复位,利用两位共阴级的数码管显示时间。 设计思路: 硬件设计:数码管部分采用2位共阴极的数码管,在P0口接上拉电阻,公共端低电平扫描。按键电路部分,将按键一侧与单片机任一I/O 口相连。 软件设计:模块化思想,使用定时器T0的工作方式1,编写显示子程序,延时子程序,初始化程序,主程序设计时注意按键消抖。 原理图: XTAL218XTAL119ALE 30EA 31PSEN 29RST 9P0.0/AD0 39P0.1/AD1 38P0.2/AD2 37P0.3/AD3 36P0.4/AD4 35P0.5/AD5 34P0.6/AD6 33P0.7/AD7 32P1.01P1.12P1.23P1.34P1.45P1.56P1.6 7P1.7 8P3.0/RXD 10P3.1/TXD 11P3.2/INT0 12P3.3/INT1 13P3.4/T0 14P3.7/RD 17P3.6/WR 16P3.5/T1 15P2.7/A15 28P2.0/A8 21P2.1/A9 22P2.2/A10 23P2.3/A11 24P2.4/A12 25P2.5/A13 26P2.6/A14 27U1AT89C51C1 1nF C21nF R110k C31uF 234567891 RP1 RESPACK-8 源代码: #include<>

秒表实验报告

实验三:秒表实验报告 一、实验目的 1、了解74ls273的工作原理; 2、看懂8086工作的时序图,并且掌握8086总线的技术; 3. 通过此实验,熟悉protues7.9的基本操作和工作环境以及MASM32文件的建立与运行; 二、实验原理 用74ls273扩展IO口,通过片选信号和写信号奖数据总线上的值锁存在273中,同时在273的输出端口输出,当数据总线上的值撤销以后,由于74ls273能够锁存信号,所以273的输出端保持不变,直到下次有新的数据被锁存,通过按键可以控制数据的变化,cpu根据按键变化控制输出,通过总线就可以控制数码管的变化,显示出秒表的效果。 3、实验步骤 1、根据实验目的及内容在proteus画出电路图,如下图所示 2、画好电路图后用汇编软件,按要求写出实验代码,代码如下: .MODEL SMALL .8086 .stack .code

.startup MOV DX,0200H LOOP0: MOV BL,SEC AND BX,000FH MOV SI,BX MOV AL,SITUATION[SI] MOV BL,SEC AND BX,00F0H MOV CL,4 SHR BX,CL MOV SI,BX MOV AH,SITUATION[SI] OUT DX,AX CALL DELAY MOV AL,SEC ADD AL,1 DAA MOV SEC,AL CMP SEC,60H JB LOOP0 MOV SEC,0 JMP LOOP0 DELAY PROC NEAR PUSH BX PUSH CX MOV BX,50 DEL1: MOV CX,5882 DEL2: LOOP DEL2 DEC BX JNZ DEL1 POP CX POP BX RET DELAY ENDP .data SEC DB 00H

电子秒表电路实验报告1

电子技术课程设计 报告 设计题目:电子秒表 院(部):物理与电子信息学院 专业班级:电子信息工程 学生姓名: 学号: 指导教师: 摘要

秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器六进制计数器多谐振荡器

目录 1.选题与需求分析 (1) 1.1设计任务 (1) 1.2 设计任务 (1) 1.3设计构思 (1) 1.4设计软件 (2) 2.电子秒表电路分析 (3) 2.1总体分析 (3) 2.2电路工作总体框图 (3) 3.各部分电路设计 (4) 3.1启动与停止电路 (4) 3.2时钟脉冲发生和控制信号 (4) 3.3 设计十进制加法计数器 (6) 3.4 设计六进制加法计数器 (7) 3.5 清零电路设计 (8) 3.7 总体电路图: (10) 4 结束语与心得体会 (12)

实验九电子秒表

实验九电子秒表 一、实验目的 1、学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示 等单元电路的综合应用。 2、学习电子秒表的调试方法。 二、实验原理 图形17-1为电子秒表的电原理图。按功能分成四个单元电路进行分析。 1、基本RS触发器 图形17-1中单元I为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出Q作为单稳太触发器的输入,另一跟路输出Q作为与非门5的输入控制信 号。按动按钮开关K2(接地),则门1输出=1;门2输出Q=0,K2复位后Q、状态保持不变。再按动按钮开关K1;则Q由0变为1,门5开启,为计数器启动作为准备。 由1变0,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。 2、单稳态触发器 图17-1中单元II为用集成与非门构成的微分型单稳态触发器,图17-2为各点波形图。 单稳态触发器的输入触发脉冲信号V1由基本RS触发器端提供,输出负脉冲V0通过非门加到计数器的清除端R。 静态时,门4应处于截止状态,故电阻R必须小于门的关门电阻R OFF。定时元件RC取值不同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的RP和C P。 单稳态触发器在电子秒表中的职能是为计数器提供清零信号。 图17-1 电子秒表原理图 3时钟发生器

图17-1中单元III为用555定时器构成的多谐振荡器,是一种性能较好的时钟源。 调节电位器RW,使在输出端3获得频率为50HZ的矩形波信号,当基本RS触发器Q=1时,门5开启,此时50HZ脉冲信号通过门5作为计数脉冲加于计数器①的计数输入端CP2。 3、计数及译码显示 二—五—十进制加法计数器74LS90构成电子秒表的计数单元,如图17—1中单元IV所示。其中计数器①接成五进制形式,对频率为50HZ的时钟脉冲进行五分频,在输出端QD 取得周期为0.1S的矩形波脉冲,作为计数器②的时钟输入。计数器②及计数器③接成8421码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1~0.9秒;1~9.9秒计时。 注:集成异步计数器74LS90 74LS90是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。 图17—3为74LS90引脚排列,表17—1为功能表。 通过不同的连接方式,74LS90可以实现四种不同的逻辑功能;而且还右借助R0(1)、R0(2)对计数器清零,借助S9(1)、S9(2)将计数器置9。其具体功详述如下: (1)计数脉冲从CP1输入,Q A作为输出端,为二进制计数器。 (2)计数脉冲从CP2输入,Q D Q L Q H作为输出端,为异步五进制加法计数器。 (3)若将CP2和QA相连,计数脉冲由CP1输入,Q D、Q C、Q B、Q A作为输出端,则构成异步8421码十进制加法计数器。 (4)若将CP1与QD相连,计数脉冲由CP2输入,Q A、Q D、QC、QB作为输出端,则构成异步5421码十进制加法计数器。 (5)清零、置9功能。 a)异步清零 当R0(1)、R0(2)均为“1”;S9(1)、S9(2)中有“0”时,实现异步清零功能,即Q D QC QBQA=0000。 b)置9功能 当S9(1)、S9(2)均为“1”;R0(1)、R0(2)中有“0”时,实现置9功能,即Q D Q C QB QA =1001. 表17-1

单片机秒表实验报告

安徽科技学院机电与车辆工程学院 《电子电路课程综合实训》 验收材料 题目: 电子秒表 姓名(学号) 胡斌1609110208 李绪1609110214 王增龙1609110227 段鑫鹏 专业: 电气工程及其自动化 班级: 112班 指导教师:叶爱芹 2013 年 12 月 29日

目录 第一章单片机课程设计任务书 (1) 一、目的意义 (1) 二、设计时间、地点和班级 (1) 三、设计内容 (1) 四、参考电路图形 (2) 五、单片机的相关知识 (3) 第二章硬件设计 (5) 一、单片机简介 (5) 二、电源电路 (5) 三、晶振振荡电路 (5) 四、复位电路 (5) 五、显示电路 (6) 六、键盘电路 (6) 七、硬件主电路图设计 (7) 八、元件清单 (7) 第三章软件设计 (8) 一、软件设计概述 (8) 二、主程序流程图 (8) 三、程序中各函数设计 (8) 四、C语言主程序设计 (10) 第四章课程设计体会 (13) ..

五、单片机相关知识 本课题在选取单片机时,充分借鉴了许多成形产品使用单片机的经验,并根据自己的实际情况,选择了AT89C51。 AT89C51单片机采用40引脚的双列直插封装方式。图1.2为引脚排列图,40条引脚说明如下: 主电源引脚Vss和Vcc ①Vss接地 ②Vcc正常操作时为+5伏电源 外接晶振引脚XTAL1和XTAL2 ①XTAL1内部振荡电路反相放大器的输入端,是外接晶体的一个引脚。当采用外部振荡器时,此引脚接地。 ②XTAL2内部振荡电路反相放大器的输出端。是外接晶体的另一端。当采用外部振荡器时,此引脚接外部振荡源。 图1.2 AT89C51单片机引脚图 控制或与其它电源复用引脚RST/VPD,ALE/PROG,PSEN和EA/Vpp ①RST/VPD 当振荡器运行时,在此引脚上出现两个机器周期的高电平(由低到高跳变),将使单片机复位在Vcc掉电期间,此引脚可接上备用电源,由VPD向内部提供备用电源,以保持内部RAM中的数据。 ②ALE/PROG正常操作时为ALE功能(允许地址锁存)提供把地址的低 字节锁存到外部锁存器,ALE 引脚以不变的频率(振荡器频率的1/6)周期性地发出正脉冲信号。因此,它可用作对外输出的时钟,或用于定时目的。但要注意,每当访问外部数据存储器时,将跳过一个ALE脉冲,ALE 端可以驱动(吸收或输出电流)八个LSTTL电路。对于EPROM型单片机,在EPROM编程期间, 此引脚接收编程脉冲(PROG功能)

电子秒表设计实验报告

淮阴工学院 《数字电子技术》课程实验期末考核 2014-2015学年第2学期实验名称:电子秒表电路的设计 班级: 学号: 姓名: 学院:电子与电气工程学院 专业:自动化 系别:自动化 指导教师:《数字电子技术》实验指导教师组成绩: 2015年07月

电子秒表电路的设计 一、实验目的 1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 2 .学习电子秒表的调试方法。 二、实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。

1.基本RS 触发器 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K 2(接地),则门1 输出=1 ;门2 输出Q =0 ,K 2 复位 后Q 、状态保持不变。再按动按钮开关K 1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。 2. 时钟发生器 图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的 时钟源。 调节电位器 R W ,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于 计数器①的计数输入端CP 2 。

图11-2 单稳态触发器波形图图11-3 74LS90引脚排列 3.计数及译码显示 二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为50HZ 的时钟 取得周期为0.1S 的矩形脉冲,作为计数器②的脉冲进行五分频,在输出端Q D 时钟输入。计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。 注:集成异步计数器74LS90 74LS90 是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

EDA实验报告-数字秒表

EDA实验报告 数字秒表的设计 指导老师:谭会生 班级:电技1503 学号: :博 交通工程学院 2017.10.28

实验二数字秒表电路的设计 一、实验目的 1.学习Quartus Ⅱ软件的使用方法。 2.学习GW48系列或其他EDA实验开发系统的基本使用方法。 3.学习VHDL程序的基本结构和基本语句的使用。 二、实验容 设计并调试一个计时围为0.01s~1h的数字秒表,并用GW48系列或其他EDA实验开发系统进行硬件验证。 三、实验要求 1.画出系统的原理框图,说明系统中各主要组成部分的功能。 2.编写各个VHDL源程序。 3.根据系统的功能,选好测试用例,画出测试输入信号波形或编好测试程序。 4.根据选用的EDA实验开发装置偏好用于硬件验证的管脚锁定表格或文件。 5.记录系统仿真,逻辑综合及硬件验证结果。 6.记录实验过程中出现的问题及解决方法。 四、实验条件 1.开发软件:Quartus Ⅱ13.0. 2.实验设备:GW48系列EDA实验开发系统。 3.拟用芯片:EP3C55F484C7 五、实验设计 1.设计思路 要设计一个计时为0.01S~1h的数字秒表,首先要有一个比较精确的计时基准信号,这里是周期为1/100s的计时脉冲。其次,除了对每一个计数器需要设置清零信号输入外,还需为六个技术器设置时钟使能信号,即计时允许信号,以便作为秒表的计时起、停控制开关。因此数字秒表可由一个分频器、四个十进制计数器以及两个六进制记数器组成,如图1所示。

系统原理框图 2.VHDL程序 (1)3MHz→100Hz分频器的源程序CLKGEN.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY CLKGEN IS PORT(CLK: IN STD_LOGIC; --3MHZ信号输入 NEWCLK: OUT STD_LOGIC); --100HZ计时时钟信号输出 END ENTITY CLKGEN; ARCHITECTURE ART OF CLKGEN IS SIGNAL CNTER: INTEGER RANGE 0 TO 10#239999#; --十进制计数预置数 BEGIN PROCESS(CLK) IS BEGIN IF CLK' EVENT AND CLK='1'THEN IF CNTER=10#239999#THEN CNTER<=0; --3MHZ信号变为100MHZ,计数常熟为30000 ELSE CNTER<=CNTER+1; END IF; END IF; END PROCESS; PROCESS(CNTER) IS --计数溢出信号控制 BEGIN IF CNTER=10#239999#THEN NEWCLK<='1'; ELSE NEWCLK<='0';

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

FPGA设计的秒表设计实验报告

《FPGA原理及应用》 实验报告书 (7) 题目秒表设计 学院专业 姓名学号 指导教师 2015年10-12月 一、实验目的 掌握小型电路系统的 FPGA 设计法。 二、实验内容

用文本法结合原理图的方法设计一个秒表,并在实验箱上进行验证。秒表基本功能要求如下: (1)要求设置复位开关。当按下复位开关时,秒表清零并做好计时准备。在任何情况下只要按下复位开关,秒表都要无条件地进行复位操作,即使是在计时过程中也要无条件地进行清零操作。 (2)要求设置启/停开关。当按下启/停开关后,将启动秒表并开始计时,当再按一下启/停开关时,将终止秒表的计时操作。 (3)要求计时精确度大于秒。要求设计的计时器能够显示分(2 位)、秒(2 位)、秒(1 位)的时间。 (4)要求秒表的最长计时时间为 1 小时。 要求外部时钟频率尽量高,分频后再给秒表电路使用。 三、实验条件 1、开发软件:QuartusⅡ 2、实验设备:KX_DN8EDS实验开发系统 3、拟用芯片:EP3C55F484C8 四、实验设计 1、六进制计数器 仿真波形

2、十进制计数器 3、分频计 4、七段数码管译码器

5、100进制原理图 6、60进制原理图 7、秒表原理图

8、管脚锁定 新建好工程文件,芯片选择Cyclone Ⅲ下面的EP3C55F484C8系列。然后锁定引脚:选择Assignments → Assignments Editor命令。

9、编译文件下载 将编译产生的SOF格式配置文件下载进FPGA中。 10、FPGA实验箱接线 在KX-EDA40A++实验箱上进行连线,分配J4,J5的引脚,输入CLK(PIN_接到时钟信号,输入的EN,RST 接到电平开关L1,L2。 五、实验总结 经过本次实验,我对QuartusⅡ的使用认识更加深刻,对FPGA技术有了更深层次的认识,有助于我对以后的电子电路设计有极大帮助。

数字电路实验电子秒表设计

* * 交通大学 信息科学与工程学院 综合性实验报告 姓名:*** 学号631206050*** 班级:2012 级软件2班 实验项目名称:电子秒表 实验项目性质:设计性实验 实验所属课程:数字电路技术基础 实验室(中心):电子电工实验室 指导教帅: *** _________________________ 实验完成时间:2013 年J2 月20 日

一、实验目的 1. 熟悉计数器的工作原理及特点; 2. 了解和掌握四位同步可预置二进制计数器 74LS161的使用方法; 3. 学习设计N进制加法计数器的方法; 4. 了解电子秒表的设计原理; 5. 掌握电子秒表的设计方法; 二、实验内容及要求 1. 自己设计的同学可以在实验中验证; 2. 按老师要求做的最好回去用EW殷计60分钟的电子秒表; 3. 完成实验报告,附上电路图及仿真结果; 4. 总结数字电路设计的一般方法,掌握常见的数字电路设计的软件。 三、实验原理 本实验采用4枚74LS161计数器及少量的门电路组成。当原有计数器从全0状态开始计数并接收了n个脉冲后,电路进入SN状态;如果将SN状态译码产生一个置零信号加入到计数器的置零输入端,则计数器立刻返回S0状态,因此可以得到相应进制 的计数器。由丁电路一旦进入SN状态后立刻乂被置成S0状态,所以SN状态只在极短的时间

出现,在稳定的状态循环中不包括SN状态。 本实验采用的4枚74LS161计数器中其中两枚采用十进制计数,另外两枚采用六进制计数用丁实现60分钟秒表的设计。 五、实验过程及原始记录(含电路图) 本实验采用4枚74LS161计数器进行实验设计,用丁构成秒表;设计过程中其中两枚需设计成十进制计数器;另外两枚需设计成6进制计数器。 六进制计数器:当Q3Q2Q1Q0=0110时,置零输入端输入置零信号使计数器活零;当Q3Q2Q1Q0=0101时,产生一个进位信号输入下一级计数器的EP、ET工作状态控制端。 十进制计数器:当Q3Q2Q1Q0=1010时,置零输入端输入置零信号使计数器活零;当Q3Q2Q1Q0=1001时,产生一个进位信号输入下一级计数器的EP、ET工作状态控制端。 其电路图实现如下:

电子秒表电路实验报告

电子技术课程设计报告 设计题目:电子秒表 院(部):物理与电子信息学院 专业班级:电子信息工程 学生姓名: 学号: 指导教师: 摘要 秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精

度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为 1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim 仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器六进制计数器多谐振荡器

目录 1.选题与需求分析 (1) 1.1设计任务 (1) 1.2 设计任务 (1) 1.3设计构思 (1) 1.4设计软件 (2) 2.电子秒表电路分析 (3) 2.1总体分析 (3) 2.2电路工作总体框图 (3) 3.各部分电路设计 (4) 3.1启动与停止电路 (4) 3.2时钟脉冲发生和控制信号 (4) 3.3 设计十进制加法计数器 (6) 3.4 设计六进制加法计数器 (7) 3.5 清零电路设计 (8) 3.7 总体电路图: (10) 4 结束语与心得体会 (12)

电子秒表显示器实验报告

电子秒表显示器实验报告 洛阳理工学院实验报告系别计算机系班级 B140502 学号 B14050226 姓名韩亚辉课程名称单片机原理及应用实验日期 2016-5-6 实验名称电子秒表显示器成绩实验目的:掌握中断和定时/计数器的工作原理,熟悉C51编程与调试方法实验条件:计算机一台、ISIS、Proteus软件 实验内容: (1)提前阅读与实验5相关的阅读材料; (2)参照实验原理图,在ISIS中完成电路原理图的绘制; (3)参照软件流程图,在uVision3中编写和编译C51程序,生成可执行文件; (4)在uVision3中启动ISIS的仿真运行,并进行联机调试实验步骤: (1)理解定时器的工作原理,完成定时中断程序的编写与调试; (2)练习uVision3与ISIS的联机仿真方法 一、实验原件清单 元件类别电路符号元件名称 Microprocessor ICs U1 80C51 Miscellaneous X1/12MHz CRYSTAL Capacitors C1~C2/1nF CAP Capacitors C3/22uF CAP-ELEC Resistors Packs R2~R8/1k RES Resistors R1/100Ω RES Optoelectronics LED1~LED2 7SEG-COM-CAT-GRN 二、根据原理图画出的实验图

实验电路在软件配合下,要求实现如下功能:数码管的初始显示值为“00”;当1s产生时,秒计数器加1;秒计数到60时清0,并从“00”重新开始,如此周而复始进行。 三、实验程序如下: #include //包含头文件,一般情况不需要改动,头文件包含特殊功能寄存器的定义 #define DataPort P0 //定义数据端口程序中遇到DataPort 则用P0 替换sbit LATCH1=P2^2;//定义锁存使能端口段锁存 sbit LATCH2=P2^3;// 位锁存 sbit P3_7=P3^7;//定义按键位 unsigned char code dofly_DuanMa[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f} ;// 显示段码值0~9 unsigned char code dofly_WeiMa[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};//分别对应相应的数码管点亮,即位码 unsigned char TempData[8]; //存储显示值的全局变量

89C51单片机课程设计之秒表设计实验报告.

这里可以加学校LOGAL 单片机课程设计报告 院系:12级物信系 班别:光信息科学与技术7班 课程名称:秒表设计 姓名:龚俊才欧一景 学号:1210407033 1210407041 指导老师:张涛 2011.12.23

目录 1课程设计的目的和任务 1.1 单片机秒表课程设计的概述 1.2课程设计思路及描述 1.3 课程设计任务和要求 2硬件与软件的设计流程 2.1系统硬件方案设计 2.2软件方案设计 3 程序编写流程及课程设计效果3.1源程序及注释 3.2原理图分析 3.3课程设计效果 4 心得体会 5 相关查阅资料

1. 课程设计的目的和任务 1.1单片机秒表课程设计的概述 一、课程设计题目 秒表系统设计——用STC89C52RC设计一个4位LED数码显示“秒表”,显示时间为 00.00~99.99秒,每10毫秒自动加一,每1000毫秒自动加一秒。 二、增加功能 增加一个“复位”按键(即清零),一个“暂停”和“开始”按键。 三、课程设计的难点 单片机电子秒表需要解决三个主要问题,一是有关单片机定时器的使用;二是如何实现LED 的动态扫描显示;三是如何对键盘输入进行编程。 四、课程设计内容提要 本课程利用单片机的定时器/计数器定时和记数的原理,结合集成电路芯片8051、LED数码管以及课程箱上的按键来设计计时器。将软、硬件有机地结合起来,使得系统能够正确地进行计时,数码管能够正确地显示时间。其中本课程设计有两个开关按键:其中key1按键按下去时开始计时,即秒表开始键(同时也用作暂停键),key2按键按下去时数码管清零,复位为“00.00”. 五、课程设计的意义 1)通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课程的应用进一步 的了解。 2)掌握定时器、外部中断的设置和编程原理。 3)通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。 4)该课程通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统,拥有正确的 计时、暂停、清零,并同时可以用数码管显示,在现实生活中应用广泛,具有现实意义 六、课程设计仪器 a) 集成电路芯片8051,七段数码管,89C51单片机开发板 b) MCS-51系列单片机微机仿真课程系统中的软件(Keil uvision2)。

数字秒表实验报告

EDA课程设计 题目:基于VHDL的数字秒表设计 学生姓名 学号 学院电子信息学院 专业 10通信工程 指导教师 二零一二年十二月

基于VHDL的数字秒表设计 摘要 当前电子系统的设计正朝着速度快,容量大,体积小,质量轻,省电的方向发展。推动该潮流迅速发展的决定性因素就是使用了现代化的EDA设计工具。此次课程设计先确定了系统的逻辑功能,选择电路结构,然后确定并设计电路所需的数据处理以及控制模块,在Quartus II上以超高速硬件描述语言VHDL为系统逻辑描述方法完成了数字秒表所需的分频模块,十进制计数控制模块,六进制计数控制模块与顶层设计和引脚分配,对其进行编译仿真,并下载到实验板上实际验证,通过本设计锻炼了计算机应用能力、VHDL语言的编程能力和Quartus II 的使用能力,此次设计圆满完成了用VHDL语言设计1/1000秒数字秒表并仿真和实际下载到ALTERA公司的ACEX1K系列的EP1K30TC144-3中实现。 关键词:EDA、Quartus II、VHDL、模块、仿真、ACEX1K Abstract The electronic system design is moving speed, large capacity, small volume, light weight, energy saving direction. The trend of rapid development of determinant is the use of modern EDA design tools. This course is designed to determine the logic function of the system, establish the algorithm process, selection of circuit structure and circuit design, and then determine the desired data processing and control module, in the Quartus II to very high speed hardware description language VHDL as the system logical description method for completing the digital stopwatch desired frequency module, decimal counting control module, base six counting control module with top design and pin assignment, the compiled simulation, and downloaded to the experiments on actual test and verify, through the design of exercise ability of computer application and VHDL programming language and Quartus II using capability, the design was completed by VHDL language design 1\/1000 seconds stopwatch and simulation and the actual download to ALTERA company's ACEX1K series EP1K30TC144-3 implementation. Key Words:EDA、Quartus II、VHDL、Module、Simulation、ACEX1K

打点计时器实验报告

实 验 报 告 班级 姓名 实验课题:用打点计时器测速度 实验目的:(1)认识打点计时器的结构及工作原理 (2)练习使用打点计时器,并根据纸带研究物体的运动 (3)根据纸带上的点迹求平均速度及粗略的测量物体的瞬时速度 (4)能认识、描绘v--t 图象,并根据v--t 图象判断物体的运动情况 实验器材:学生电源、导线、打点计时器、纸带、复写纸(斜面、小车、钩码)刻度尺 实验原理: 1、打点计时器的工作原理:打点计时器是利用电磁感应原理制造的,通过打点来计时的一种仪器。当通有交流电(4~6V )时,线圈变为一电磁铁(N 极,S 极不断变化),与永久磁铁相互作用,造成振片上下振动,带动振针在运动的纸带上打下一系列的点迹。由于交流电为50Hz ,故打下的每两个点之间的时间间隔为0.02秒,打下的点不仅记录了物体的位置,也记录了运动所用的时间。 2、测一段位移的平均速度:取纸带上某两点之间为研究对象,用刻度尺测出它们之间的距离Δx ,通过两点之间的间隔数n ,求出该段位移所用时间Δt=n ×0.02s,利用平均速度的公式求出该位移的平均速度。 3、粗略测量瞬时速度:测量某点(位置)瞬时速度时,在其两侧(包含该点)取一段小位移,求出其平均速度,可以粗略的代替该点的瞬时速度。 实验步骤: 1、 认识打点计时器的构造及工作原理: 2、 练习使用打点计时器: (1) 将打点计时器固定,熟悉实验仪器。 (2) 用导线将学生电源与打点计时器连接 (学生电源处于关闭状态)。 (3) 装好复写纸片及纸带,启动电源,用手水平拉动纸带,纸带上就打出一行小 点,随后立即关闭电源。

(4) 取下纸带,从能够看清的某个点开始,往后数出若干个点。如果数出n 个点, 由间隔数计算出第一个点到第n 个点的运动时间。 (5) 用刻度尺测量出第一个点到第n 个点的距离,由平均速度的公式,求出该段 位移内的平均速度。 3、 测量瞬时速度: (1)、取纸带上某一点为计时零点,每隔0.1s (5个间隔)取一测量点,分别用数字0, 1, 2,3,4,5标出这些“测量点”。 (3)将上表中的瞬时速度在v —t 图中描点连线,画出v —t 图象。 注意事项: 1、实验时注意人身安全及仪器安全, 打点计时器应使用10V 以下交流电源。 2、实验时先接通电源,再拉动纸带,实验 完毕立即关闭电源。 4、 手拉纸带时,速度应快些,以防点迹太密集。 5、 利用小车时,应用手接好小车,防止小车落地损坏实验仪器。

相关文档
最新文档