基于FPGA的音乐盒的设计毕业设计开题报告

基于FPGA的音乐盒的设计毕业设计开题报告
基于FPGA的音乐盒的设计毕业设计开题报告

山东轻工业学院

毕业设计(论文)开题报告

课题名称基于FPGA的音乐盒的设计

课题类型工程设计Y导师姓名

学生姓名学号200602031077专业班级电子

一、选题依据

1、目的及意义

音乐盒悠扬的乐声,经常勾起人们对美好往事的回忆,甚至魂牵梦萦,坠入时光岁月的追忆中。300多年来席卷全球市场的机械音乐盒的最大魅力,也许就在于它能将抽象的音乐,凝固成具象的艺术品。成为人们表达美好情感,追思逝去岁月的最佳选择吧!

机械音乐盒的发展史,可追溯至14世纪初期,所发明挂在教堂钟楼上的排钟,这种用发条装置来演奏的乐器,能发出清脆如水晶般的乐声,一度风靡荷兰,比利时和法国北部。1811年以来,瑞士曾经是这项技艺的中心,它和瑞士钟表工业一样,两者相辅相成,一段时间名领风骚,称霸全球。

二战以后,美国的大兵将精致的音乐盒带至日本,给这个正处在战争之后,阵痛呻吟中的民族以很大的抚慰,日本的技术人员借鉴了瑞士的制造技术,并作了进一步的更新,使得音乐盒的造价在当时降低了很多,并提高了产量,这正如日本的钟表工业在战后迅速崛起一样,日本制造的精致音乐盒,在全球范围内,打败了位于瑞士侏罗山区的众多厂商,并且逐渐形成以瑞士的Regue和日本的S ankyo,称霸全球音乐盒市场二足鼎立的格局。

这种情况,一直延续至二十世纪九十年代,随着中国的改革开放,中国经济逐渐融入国际社会,全球性的机械加工业开始向中国倾斜,音乐盒的制造也不例外。

1992年,中国第一台具有自主知识产权的八音琴在中国宁波韵升的诞生,标志着中国,全方位地参与全球音乐盒这块巨大蛋糕的市场竞争,经过十多年的努力,韵升对八音琴的制造技术进行了更多的技术更新,取得了多个国家和地区5 0余项发明专利。这使得音乐盒无论在音质,音量,谱曲,和外观设计等方面都

有了更大的改进。目前,韵升八音琴已占据全球八音琴市场份额的1/4,仅次于

日本Sankyo,位居全球第二位。

音乐盒300多年的产品发展,同时也是人类文明300多年发展的历史鉴证。每个不同时期的音乐盒造型,都能折射出当时不同的社会心态和文明发展现状,它也成了时代的一面镜子。

现今,音乐盒的制造,延袭传统,结合现代,加上现在已经成熟的FPGA技术,更使得音乐盒的前景更加的广阔,利用FPGA技术我们不需要像传统音乐盒的那种以复杂、成本高为代价,而仅仅需要一个FPGA开发板就能设计出完美的作品,并且视觉效果和听觉效果也都是传统音乐盒所无法达到的。

2、EDA设计方法的发展趋势

电子产业瞬息万变,随着新一代FPGA芯片工艺和设计方法的进步及新的应用领域和市场需求的变化,EDA技术也有突飞猛进的发展,总的趋势可以概括为:跨越器件组,甚至公司界限,越来越人性化的设计,越来越高的优化水平,越来越快的仿真速度,越来越高的仿真精度以及完备的分析验证手段。

(1)一体化工具和IP是发展方向

一体化的工具使用户受益于一个统一的用户界面,避免了在不同的工具间进行数据转换等繁琐的操作。目前,各大EDA工具供应商分别推出了集成众多工具在内的一体化设计工具,同时也在分别推出各自的标准数据库,以进一步简化设计流程。未来先进的IC设计平台,将整合各个公司的许多工具,覆盖了从设计编译、布局编译、物理编译、DFT编译以及硅片制造的全部流程,同时还在内部集成了向第三方开放的数据库,将

不同设计阶段中的数据、时序、计算以及种种约束条件协调起来,将集成新的模拟和混合信号设计工具,加强利用EDA工具进行模拟电路设计的能力。

IP的合理应用是加速产品设计流程的一个有效途径。按照美国EDA联盟(The EDA Consortium)的统计数据表明,IP产品的销售额是全球EDA工业中增加最快的一个领域。IP应用是IC设计业中绝对的发展趋势。

(2)System Verilog将成为下一代的描述语言

描述语言一直是EDA业中重要的一环,VHDL和Verilog目前是中国的主流设计语言。然而,随着IC复杂度的不断提高,高级语言将成为FPGA开发的利器,从更高层次入手对系统进行描述是描述语言未来的发展方向。“System Verilog将最终取代

VHDL。”这是Synopsys公司对描述语言发展方向上的预测,在进一步解释这一预测时,还指出多年来FPGA设计中更关注的是仿真,而目前验证整个设计周期中已经占据了60%甚至更多的时间,而System Verilog可以有效地支持上述两者的需求,同时System Verilog是与Verilog完全兼容的。系统级设计方法除了需要使用高级HDL语言外,更重要的是要得到系统级仿真、综合工具的强力支持。目前Verilog HDL语言发展迅猛,并逐步完善。

(3)EsL将撑起EDA产业大旗

ESL指的是电子级系统设计。软件挑战是ESL身后的关键推动力。多处理器系统级芯片必须并行编程,EsL的目标是单一高级别模型的协同软硬件设计。未来几年全球ESL 工具营收将显著增长,将与RTL工具持平。三种主要的EsL方法学分别围绕算法、处理器与存储器、控制逻辑。它们均包含行为级与架构级设计,分别面向不同的工具及供应商。

(4)Linux提速进入EDA领域

随着EDA技术在全球范围内的飞速发展,业界都在翘首以待基于Linux环境的EDA技术成为电路设计领域的主流。首先,由于Linux费用很低,源代码开放,这使得EDA软件的前期开发费用很低,而且运行维护的成本也很低,同时大大方便了工程师的设计工作。而Linux工作站的费用也要比Unix工作站便宜很多。此外,Linux的成本大约是Unix以及Windows的1/15~1/10,但是效能并不比后者差,甚至运行速度要更快一些。现在业界普遍的看法就是预计在未来的5年内,Linux将成为EDA的主角。可以预见,Linux的普及只是时间问题。

(5)模块化、增量式设计成为主流

模块化设计适用于团队开发设计内部关系易于划分、模块间连接较少的项目。模块化设计先进行整体设计,各模块使用黑盒子代替,只指明模块间的连接(使用“伪逻辑”(pseudo logic)连接)和整体设计的外部端口,并约束各模块在FPGA芯片内部的区域位置和时序、外部端口引脚。之后并行的依据约束完成各自的模块设计,最后提交到一起进行整体的组合(assemble)。增量式设计是一种能在小范围改动情况下节约综合、实现时间并集成以往设计成果的设计手段。包括增量综合和增量实现两个层次的含义。

二、研究设计内容

采用FPGA(类型自选)作为音乐盒的控制芯片,整个电路设计和仿真均在QuartusII

软件上完成,电路运行的情况在仿真软件中直接观察。该音乐盒应当具备一般音乐盒基本功能,即:

1、由功能键选择音乐盒处于自动播放或手动选歌模式;

2、当处于自动播放模式时,音乐盒可连续循环播放至少四首完整歌曲;

3、当处于手动选择模式时,可通过选择键切换到所要播放的歌曲;

4、当歌曲进行播放时,可显示播放歌曲的序号及名字。

三、研究设计方法及技术路线

纵观整个设计思路,大致可分为三大部分。

第一阶段:通过查阅资料对本系统做深入了解,熟悉各模块。对系统的结构设计有了初步的思路以后,大体绘出系统的结构框图。

第二阶段:对系统进行设计。系统组成包括数据采集模块、数据存储模块、数据处理与控制模块和显示等模块。

第三阶段:对系统进行调试。

四、时间安排

第七、八周:查阅和收集资料,了解各模块的基本知识;翻译与本题目相关的外文资料;学习单片机的开发环境;完成开题报告,经指导教师同意后开题。

第九周:开始整体方案的设计。

第十周:初步设计任务的整体方案,此间对各个模块的设计进行了分析,进行具体模块的设计。

第十一周:进行中期检查,检查课题及论文的完成情况,提交中期检查总结报告,对课题完成过程中存在的问题进行总结和提出解决方案。

第十二周:进行编程工作,初步完成整个系统的框架。

第十三周:检查各系统设计是否存在问题,并进行优化修改。

第十四周:完成毕业设计软件及有关系统各个模块的整体调试。整理各种资料,开始撰写毕业论文。

第十五周~第十七周:在指导老师的建议下修改并完成论文。参加毕业答辩,完成各种资料的整理和归档。

五、预期研究成果:

1、在有关软件上完成课题的设计和仿真,验证所设计系统的功能。

2、提交毕业设计论文。

六、主要参考文献

《VHDL入门与应用》陈雪松滕立中人民邮电出版社

《用VHDL设计电子线路》乔长阁薛宏熙翻译清华大学出版社

《VHDL简明教程》王小军清华大学出版社

《可编程逻辑系统的VHDL设计技术》Kevin Skahill东南大学出版社

《VHDL硬件描述语言与数字逻设计》侯伯亨西安电子科技大学出版社

《VHDL硬件描述语言与数字逻辑电路设计——电子工程师必备知识》西电出版社,1999年修订版

《VHDL语言100例详解——北京理工大学ASIC研究所》清华大学出版社,1999年12月版

《ALTERA可编程器件及其应用》刘宝琴等清华大学出版社

《FPGA原理及应用》赵雅兴天津大学出版社

《CPLD技术及应用》宋万杰等西安电子科技大学出版社

《PLD器件与EDA技术》李冬梅北京广播学院出版社

《大规模可编程逻辑器件及其应用》徐志军等电子科技大学出版社

年月日指导教师意见:

指导教师:年月日

注:课题类型填写:工程设计、技术开发、软件工程、理论研究等,同时注明X—真实课题;Y—模拟课题;Z—虚拟课题。

音乐盒设计

基于89c52单片机音乐播放的设计安庆师范学院物理与电气工程学院

1、设计任务和要求 (1) 2、总体设计 (1) 3、硬件设计 (2) 3.1 硬件电路 (2) 3.2 原理说明 (2) 4、软件设计 (2) 5、仿真、安装和调试 (5) 6、收获与体会 (6) 附件1:元件清单 (6) 附件2: 总仿真电路图 (7) 附件3:音乐程序 (8)

音乐盒设计 1、设计任务和要求 (1)利用I/O口产生一定频率的方波,驱动蜂鸣器,发出不同的音调,从而演乐曲。 (2直接当前播放的歌曲。 (3)可通过功能键选择播放上一首、下一首和暂停播放歌曲。 2、总体设计 (1)要产生音频脉冲,只要算出某一音频的周期(1/音频),然后将此周期除以2,即为半周期的时间,利用定时器计时这个半周期时间,每当计时到后就将输出脉冲的I/O反相,然后重复计时此半周期时间再对I/O口反相,就可在I/O脚上得到此频率的脉冲 (2)利用8052的内部定时器使其工作在计数器模式MODE1下,改变记数值TH0及TL0以产生不同频率的方法。例如频率为523HZ,其周期T=1/523=1912微秒,因此只要令计数器定时956/1=956在每记数9次时将I/O口反相,就可得到中音D0(523HZ)。 记数脉冲值与频率的关系公式如下: N=Fi/2/Fr N:记数值 Fi:内部计时一次为1微秒.故其频率为1MHZ Fr;要产生的频率 (3):起记数值的求法如下: T=65536-N=65536-Fi/2/Fr 例如:设K=65536,F==Fi=1MHZ,求低音D0(523HZ),高音的D0(1046HZ)的记数值。 T=65536-N=65536-Fi/2/Fr=65536-/2/Fr=65536-500000/Fr 低音D0的T=65536-500000/262=63627 中音D0的T=65536-500000/523=64580 高音D0的T=65536-500000/1047=65059

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

音乐盒设计

机电学院单片机课程设计 任务书 设计名称:音乐盒的设计 学生姓名:*** 指导教师:***** 起止时间:自*** 年* 月* 日起至*** 年*月* 日止 一、课程设计目的 利用AT89C51系列单片机,实现两首歌曲的依次、循环播放,并在播放歌曲的同时,与之对应的LED灯亮起,形成三种绚丽的灯光效果,制作成一个简单的音乐盒。 二、课程设计任务和基本要求 设计任务: 1.运用AT89C51系列单片机的技术原理,通过硬件电路制作以 及软件编译,设计制作出一个多功能音乐盒; 2.运用2N2905三极管放大技术,对扬声器音频信号进行放大, 实现音乐播放功能; 3.8个LED灯对应音乐的不同音阶,实现伴随音乐播放,发出 不同的花样效果的功能。 基本要求: 1. 能够实现设计任务的基本功能; 2. 至少设计两种音乐的播放和三种灯光效果的制作; 3. 能够完成音乐盒实物的焊接; 4. 完成设计后独立撰写3000字左右的设计报告。

目录 摘要 (1) 关键字 (1) 1 概述 (2) 1.1设计意义 (2) 1.2设计方案 (2) 1.3设计内容 (2) 2 硬件设计 (3) 2.1音乐盒的结构框图 (3) 2.2单片机模块 (3) 2.2.1 AT89C51系列单片机介绍 (3) 2.2.2 最小系统 (4) 2.3扬声器模块 (4) 2.4LED显示模块 (5) 2.5按键模块 (5) 3 软件设计 (6) 3.1音乐盒的功能框图 (6) 3.2音调、节拍以及编码的确定方法 (6) 3.2.1 音调的确定 (6) 3.2.2 节拍的确定 (7) 3.2.3 编码 (8) 3.3软件程序设计 (9) 3.3.1 程序流程图 (9) 3.3.2 程序源代码 (10) 4 调试 (10) 4.1实验环境 (10) 4.1.1 PROTEUS软件简介 (10) 4.1.2 KEIL简介 (11) 4.2仿真调试 (11) 4.3花样灯3种效果 (12) 4.4实物调试 (13) 5 总结 (14) 参考文献 (15) 附录 (16) 附录1仿真电路图 (16) 附录2实物图 (16) 附录3元器件清单 (16) 附录4程序源代码及注释 (17)

基于51单片机数字音乐盒的设计

单片机实物设计 题目: 单片机音乐盒设计 班级: K0312416-17 姓名:湛俊朱斌杨裕庆 学号:K031241705 K031241632 K031241737

摘要 本设计是一个基于STC89C51RC系列单片机的音乐盒,依据单片机技术原理,通过硬件电路制作以及软件编译,设计制作出一个多功能多功能音乐盒。该音乐盒主要由按键电路、复位电路、时钟电路以及蜂鸣器组成。本音乐盒共有四首歌曲,用4个按键控制。播放歌曲时,蜂鸣器发出某个音调。本设计利用KEIL编程软件对音乐盒源程序进行编程并调试,配合PROTEUS 仿真软件对硬件进行仿真调试,节约了设计时间。 【关键词】STC89C51RC 按键蜂鸣器 LCD1602液晶

目录 前言 ................................................................................................................................. 第一章工作原理 .............................................................................................................. 1.1设计目标 ............................................................................................................... 第二章软件设计与分析................................................................................................... 2.1 软件设计的组成................................................................................................... 2.2 各部分软件分析 ................................................................................................. 2.2.1 延时165MS,即十六分音符子函数 .......................................................... 2.2.2 延时1MS子函数...................................................................................... 2.2.3 定时器0中断子函数 .............................................................................. 2.2.4 播放音乐子函数...................................................................................... 2.5 定时器1中断子函数.................................................................................. 2.6 按键扫描子函数 ......................................................................................... 2.2.7 主函数..................................................................................................... 2.3 总源程序 ............................................................................................................ 第三章软件仿真 .............................................................................................................. 3.仿真图...................................................................................................................... 3.1 元件清单 ............................................................................................................... 总结 ..................................................................................................................................... 参考文献..............................................................................................................................

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

网上书店系统-毕业设计开题报告

5.2 用户管理 5.2.1 用户注册 如果成为会员,在购物的时候可以获得优惠,基于这个想法,顾客一般都会在这里先注册成为会员的。在主页上点击“新用户注册”就可以跳到会员注册页面,在会员注册资料中,分为必填资料和选填资料。注册页面为reg1.jsp填好一切资料后点击“好了我要提交”,提交到注册处理页面reg3.jsp.并使用JAVASCRIPT脚本进行验证,将用户名与原有的user表进行比较,若用户名已存在,则提示“此用户名已存在请重新填写”,经过验证后填写的数据添加到数据库的usr表中,点击“不行我要重填”进行重新填写。 5.2.2 用户登录 顾客经过注册后,可以用注册过的帐号进行登陆。在登陆界面login.jsp中或首页的登录框中输入帐号、密码、会员类型提交到user_login.jsp页面处理登录请求,将提交的数据与数据库中的原有userName,userprd,userType进行组合查询比较,验证通过后就可以登陆会员系统。如果是管理员进入管理页面manager/index.jsp,普通会员进入网站首页index.jsp,并且显示出用户名,将用户名存入session中后可以进行购物等所有操作。 5.2.3 用户登陆_2 顾客经过注册后,可以用注册过的帐号进行登陆。 5.2.4 用户信息查看 用户登录后可以查看自己的注册信息和在网站的消费信息,通过myinfo.jsp 显示用户信息。并出现信息修改链接,可以修改密码和注册信息,但是用户名不可改变。 5.2.5 用户信息修改 可以分别进行修改密码和注册信息,但是用户名不可改变。表单提交信息的约束由JavaScript完成,修改密码的处理页面是modify_password_save.jsp。用户信息修改处理页面是modify_info_save.jsp。数据库更新操作过程中,定义一个整

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

基于单片机的音乐盒设计_毕业设计

毕业设计 基于单片机的音乐盒设计 【摘要】本设计是一个基于A T89C51系列单片机的音乐盒,依据单片机技术原理,通过硬件电路制作以及软件编译,设计制作出一个多功能多功能音乐盒。该音乐盒主要由按键电路、复位电路、时钟电路以及蜂鸣器组成。使用两个按键控制音乐盒,一个用来切换歌曲,另一个用来切换8路LED的变化花样,本音乐盒共有两首歌曲,花样灯花样共计3种。播放歌曲时,蜂鸣器发出某个音调,与之对应的LED亮起。本设计利用KEIL编程软件对音乐盒源程序进行编程并调试,配合PROTEUS仿真软件对硬件进行仿真调试,节约了设计时间。 【关键字】音乐盒;A T89C51单片机;KEIL;PROTEUS;音调

Design Of Music Box Based On SCM Li Kun (Grade06,Class1, Major Computer Science and Technology, Computer Science and Technology Dept,Shaanxi University Of Technology,Hanzhong 723003,Shaanxi) Tutor: FENG Yong-Zheng Abstract:This design is a series based on A T89C51 microcontroller Music Box, based on principles of SCM technology, through the production of hardware and software compilation, designed a multi-function music box. Mainly by the keys of the music box circuit, reset circuit, clock circuit and the buzzer composition. Using two buttons control music box, one to switch songs, and the other is used to switch the 8 LED pattern changes, the music box has two songs, a total of three kinds of pattern light pattern. Play a song, the buzzer sounded a tone, corresponding LED lights up. This design using KEIL programming software to program the music box and debug source code, with the PROTEUS simulation software to simulate hardware, debugging, saving design time. Key Words: Music Box ;A T89C51 SCM; KEIL; PROTEUS; TONE

毕业设计学生信息管理系统开题报告

毕业设计(论文)开题报告 题目:学生管理信息系统开题报告 学院: 专业: 姓名: 指导教师: 年月日 1.选题背景 随着人类进入信息时代,信息管理的水平越来越成为衡量国家综合实力的重要标志。管理信息系统是一门综合了管理科学、信息科学、系统科学、行为科学、计算机科学和通信技术的新兴边缘学科。在一个国家里管理信息系统的建立,运行和发展水平,标志着这个国家的管理现代化水平和信息化水平。基于B/S 的学生信息管理系统的设计开发顺应信息时代发展潮流,实现方便快捷地管理、浏览、查询学生基本信息。系统设计并没有采取传统的信息管理系统的界面模式,而是借鉴于现在网络上流行的校友录形式对学生基本信息进行灵活多样化地管理,设计清晰、简洁,使用简单、明了,通过学生注册记录学生基本信息,省去了大量的信息录入工作,管理者只需通过后台管理界面轻松管理,无需直接操作数据库。与目前已有的一些学生信息管理系统比较,整个系统新颖、灵活,方便操作,实用性极强。 2.选题的目的和意义

基于B/S 的学生信息管理系统采用Browser/Server 的结构,运用ASP技术,以Sql Server 数据库为后台数据库,Macromedia Dream-weaver MX 和Microsoft FrontpageXP 为前台开发工具,Macromedia Flash MX 和Adobe Photoshop 6.0 为辅助设计软件,将实现方便、快捷查询学生基本信息作为基本目标,主要包括以下几个栏目:用户注册、用户登录、取回密码、修改资料、用户排行、班级排行、班级留言、校园杂谈、班级管理、教师通信录、班级通信录、班级注册、访问统计、班级邮件列表、教师邮件列表、班级管理员申请、班级管理员辞职、退出班级、短消息、班级相册等,同时支持多种邮件组件,强大的系统管理,无需任何组件照片上传、安全处理非法用户注册和非法用户登录,定制班级开放等级等多种附加功能。该系统在结合网站安全的基础上,页面设计清晰、简洁,自成功运行以来,已经挂靠教育学院网站试用。 3.国内外研究动态 对管理信息系统较完整的定义出现于20 世纪80 年代,由美国明尼苏达大学Gordon B.Davis 提出:“它是一个利用计算机硬件和软件,手工作业,分析、计划、控制和决策模型,以及数据库的人机系统。它能提供信息,支持企业或组织的运行、管理和决策功能。”这一定义说明计算机是管理信息系统的重要工具,当今时代随着计算机技术的不断发展与应用,计算模式从集中式转向了分布式,尤为典型的是C/S 结构(Client/Server 的简称,客户机/服务器模式)。两层结构C/S 模式,在上个世纪八十年代及九十年代初得到了大量应用,最直接的原因是可视化开发工具的推广。之后,它开始向三层结构发展。近年来,随着网络技术不断发展,尤其是基于Web 的信息发布和检索技术、Java计算技术以及网络分布式对象技术的飞速发展,导致了很多应用系统的体系结构从C/S 结构向更加灵活的多级分布结构演变,使得软件系统的网络体系结构跨入一个新阶段,即B/S体系结构(Browser/Server 的简称,浏览器/服务器模式)。 4.主要研究内容和解决的方法 4.1 系统组成和本人负责的模块 4.1.1 系统组成 本系统由两大模块组成:.管理员管理基本功能和学生使用基本功能。 4.1.2 各模块简介 1.管理员管理基本功能包括系统管理员登录、管理员帐号修改、参数设置、班级和用

八音盒设计[实用版]..

《单片机原理及应用》课程设计 —八音盒设计 完成日期:2013年06月11日 目录 一、单片机设计课程的目的和基本要求 (2) 二、八音盒的设计要求 (3) 三、关于AT89C51 (3)

3.1、对于AT89C51的性能介绍 (3) 3.2、AT89C51的管脚介绍 (4) 四、总体设计 (5) 五、硬件原理及设计说明 (7) 六、软件设计流程图 (7) 七、程序输入窗口、编码及音乐程序 (7) 7.1程序输入窗口 (8) 7.2编码 (8) 7.3音乐程序 (9) 八、仿真和调试 (9) 九、元器件清单 (10) 十、电路总图 (10) 十一、实验总结 (11) 参考文献: (12) 一、单片机设计课程的目的和基本要求 大学本科生动手能力的培养和提高时大学本科的一个重要内容。本次课程的设计目的,是让我们通过课程设计建立起单片机应用系统的概念,根据系统设计要求,掌握初步的单片机系统设计方法,让学生的动手能力和对单片机系统从硬件系统和软件系统设计两个方面都得到实际的提高。为今后的毕业设计打下良好的基础。 课程设计的基本要求有: (1)在课程设计过程中,学会使用89S51单片机及相应绘图软件,根据设计要

求设计,编程,运行,调试最后提供课程设计报告; (2)课程设计应由学生本人独立完成,严禁抄袭; (3)认真编写课程设计报告。 二、八音盒的设计要求 8051八音盒 本设计利用8051单片机结合内部定时器LCD设计一个八音盒,按下单键可以演奏预先设置的歌曲旋律。本设计可以学习8051定时器程序设计,按键扫描及歌曲旋律简单直觉式输入法的设计方法。 其基本功能为:1使用LCD显示器来显示目前演奏的歌曲编号;2具有16个按键操作来选择演奏哪一首歌曲;3内建10首歌曲旋律,按下单键可以演奏歌曲;4演奏时可以按键中断。程序执行后工作指示LED闪动,表示程序开始执行,按下单键0~9便可以演奏歌曲,歌曲演奏中,可以按键中断。 三、关于AT89C51 3.1、对于AT89C51的性能介绍 AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 它可以提供以下的功能标准: (1)4K的字节闪烁存储器; (2)128字节随机存取数据存储器; (3)32个I/O口; (4)2个16位定时/计数器; (5)1个5向量两级中断结构; (6)1个串行通信口; (7)片内振荡器和时钟电路。 另外AT89C51还可以警醒OHZ的惊涛逻辑操作,并支持两种软件的节点模式。

单片机课程设计---电子音乐盒的设计

课程设计(说明书) 电子音乐盒的设计 院(系)名称工学院机械系 专业名称机械设计制造及其自动化学生姓名 指导教师 2013年01月12日

课程设计任务书 题目: 电子音乐盒的设计 课程:单片机课程设计 课程设计时间 2012年12月21 日至2012年1 月3日共2 周课程设计工作内容与基本要求(设计要求、设计任务、工作计划、所需相关资料)(纸张不够可加页) 1.设计要求 查阅资料,了解单片机控制单音喇叭发声原理;设计基于单片机的电子音乐盒;通过按钮可选择不同的音乐。 创新设计: 1、安装复位键,暂停、播放键; 2、有6首不同的音乐用程序编出可供选择。 2. 设计任务与要求 2.1系统硬件电路设计 根据该系统设计的功能要求选择所用元器件,设计硬件电路。要求用Proteus绘制整个系统电路原理图。 2.2软件设计 根据该系统要求的功能进行软件设计,绘制整个系统的软件流程图;根据流程图编写程序并汇编调试通过;列出软件清单,软件清单要求逐条加以注释。 2.3 Proteus仿真 用Proteus对系统进行仿真并进行软硬件调试。 2.4 编写设计说明书 内容包括任务书、设计方案分析、硬件部分设计、软件部分设计、调试结果整理分析、设计调试的心得体会等,字数不少于4000字;硬件部分设计要绘制整个系统电路原理图,对各部分电路设计原理做出说明;软件设计部分要绘制整个系统及各部分的软件流程图,列出程序清单,逐条加以注释,并在各功能块前

加程序功能注释。 3.工作计划 4.主要参考资料 单片机课程设计指导书皮大能北京理工大学出版社2010.7 8051单片机实践与应用吴金戎清华大学出版社2003.8 单片机技术基础教程与实践夏路易电子工业出版社2008.1 MCS-51单片机原理接口及应用王质朴北京理工大学出版社2009.11 基于Proteus的单片机系统设计与仿真实例蒋辉平机械工业出版社2009.7 指导老师签字: 日期:

基于51单片机的音乐盒的设计毕业论文

基于51单片机的音乐盒的 设计毕业论文 目次 目次 (3) 1 引言 (4) 1.1 音乐盒的意义 (4) 1.2 研究容 (5) 2.1系统总体框架图 (6) 2.2音乐盒的设计原理 (6) 2.3 单片机芯片概述 (7) 3 硬件电路设计 (8) 3.1 单片机最小系统原理图 (8) 3.1.1 复位电路 (8) 3.1.2 晶振电路 (9) 3.1.3时钟电路 (9) 3.2 LCD显示模块 (9) 3.3 继电器模块 (11) 3.3.1电磁继电器的工作原理和特性 (12)

3.3.2 固态继电器的工作原理和特性 (12) 3.3.3 继电器主要产品技术参数 (12) 3.4 按键模块 (13) 3.5 其它 (13) 4 软件设计 (14) 4.1 软件总体流程图 (14) 4.2播放/暂停子程序 (15) 4.3 LCD显示模块软件设计 (17) 4.3.1 LCD的初始化函数 (17) 4.3.2 LCD与继电器的函数 (18) 5 系统实现 (19) 5.1 硬件调试 (20) 5.1.1 按键控制的实现 (20) 5.1.2 LCD显示 (21) 5.1.3 其他 (21) 总结 (22) 致谢 (23) 参考文献 (24) 附录A 元器件清单 (25) 附录B 源程序 (26)

1 引言 在进入21世纪后,单片机产品的发展正朝着高性能和多品种方向,并且发展趋势是进一步朝着CMOS化、小体积、低功耗、大容量、高性能、低价格以及外围的电路装化等几个方面去发展。单片机的应用的重要意义还是在于它是从根本上改变了传统的控制系统设计思想和设计方法。过去必须要由模拟电路或者数字电路才可以实现的大部分的功能,现在已能完全可以用单片机通过软件的方法来实现了。这种由软件去代替硬件的控制技术也称之为微控制技术,这是传统控制技术的一次革命。单片机可以说渗透到了我们生活的各个方面,几乎难以找到哪个领域里没有单片机的踪迹。导弹中的导航装置,飞机里安装的各种仪表的控制,计算机里的网络通讯与数据传输方面,工业自动化过程中实时控制和数据处理方面,生活中被广泛使用的各种智能IC卡,民用的高档轿车的安全保障系统,摄像机、录像机、全自动洗衣机所涉及的控制方面,以及远程控制的玩具、电子宠物等等,这些全都是离不开单片机的。 而伴随着科学技术的不断进步和社会的持续发展,人类所接触的更种信息也在不断增加并且信息变得越来越复杂。面对着浩如烟海的繁杂信息,人们目前已经能利用计算机等工具快速、精准地对其进行快速处理,但要想将其处理完毕的信息及时、清晰地传递给其他人,还必须要寻找更加卓越的显示技术去实现它。而单片机技术与液晶显示技术的结合,恰恰使得信息的传输交流向着智能化可视化方向进行快速发展。

计算机毕业设计开题报告

计算机毕业设计开题报告 开题报告填写要求 1.开题报告(含“文献综述”)作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业设计(论文)工作前期内完成,经指导教师签署意见及所在专业审查后生效。 2.开题报告内容必须用黑墨水笔工整书写或按此电子文档标准格式(可从教务处网页上下载)打印,禁止打印在其它纸上后剪贴,完成后应及时交给指导教师签署意见。 3.“文献综述”应按论文的格式成文,并直接书写(或打印)在本开题报告第一栏目内,学生写文献综述的参考文献应不少于10篇(不包括辞典、手册),其中至少应包括1篇外文资料;对于重要的参考文献应附原件复印件,作为附件装订在开题报告的最后。 4.统一用a4纸,并装订单独成册,随《毕业设计(论文)说明书》等资料装入文件袋中。 毕业设计(论文)开题报告 1.文献综述:结合毕业设计(论文)课题情况,根据所查阅的文献资料,每人撰写2500字以上的文献综述,文后应列出所查阅的文献资料。软件项目开发管理系统

进入二十一世纪这个信息爆炸的时代,人们的各种观念开始发生惊天巨变,各行业也开始转向信息化。而随着internet的普及与发展、随着电脑及网络技术的大范围应用,信息技术、网络技术、计算机技术等都在不同领域得到了飞速的发展。随着it产业的不断膨胀,各种各样的软件项目被飞快的开发出来。各种应用软件,各类实施项目不断被推出,在世界高速发展的今天,在各类软件项目层出不穷的今天,如何规范的,系统的,安全的,高效的管理好各类软件项目,成为了当前各软件项目开发公司的最大难题。要想去逐步解决这些问题,则需要应用到软件项目开发管理系统。软件项目开发是一件非常复杂的工作,他需要各种软件开发人员投入到这个开发大军来,相互协调配合,相互提升。而对众多的软件公司或开发团队来说,有效的管理和控制软件项目是非常重要的。为了给这一复杂而重要的工程带来可操作性的简便和准确的数据信息。softwareprojectsexploitationmanagementsystem就是为着这一目的开发出来的。软件项目管理系统主要用来帮助软件公司架构规范的软件项目开发管理过程(项目计划管理、监督与跟踪、需求管理、测试管理、缺陷管理…)包括:1、帮助软件公司进行有效的项目数据度量管理(针对seicmm3/cmmi3的标准);2、帮助各软件公司长效实施组织过程体系规范(iso9001、seicmm/cmmi);3、帮助软件公司建立项目过程数据库和知识库等。故本综述分别从基于b/s模式数据库的设计方法、分析,设计对软件项目管理系统进行综述。4、提高过程透明度,加强对项目的监

课程设计-数字音乐盒

单片机课程设计-数字音乐盒 课程设计要求:1.利用I/O口产生一定频率的方波,驱动蜂鸣器,发出不同的音调,从而演奏乐曲(最少三首乐曲,每首不少于30秒)2.采用LCD显示信息 3.开机时有英文欢迎提示字符,播放时显示歌曲序号(或名称) 4.可通过功能键选择乐曲,暂停,播放。 5.选作内容:显示乐曲播放时间或剩余时间 硬件电路:本设计中用到了89C51单片机,4*4键盘,蜂鸣器,16*2 LCD,七段 显示数码管LED。 原理说明:当键盘有键按下时,判断键值,启动计数器T0,产生一定频率的脉冲,驱动蜂鸣器,放出乐曲。同时启动定时器T1,显示乐曲播放的时间,并动LCD,显示歌曲号及播放时间。也可在LED显示歌曲号。 (1)硬件电路中用P1.0~P1.7控制按键,其中P1.0~P1.3扫描行,P1.4~P1.7扫描列。 (2)用P0.0~P0.7,P2.0~P2.7控制LED,其中P0.0~P0.7控制七段码a,b,c,d,e,f,g,用P2.0~P2.7为数码管位选信号。 (3)用,P2.0~P2.2作为LCD的RS,R/W,E的控制信号。用P0.0~P0.7作为LCD的D0~D7的控制信号。 (4)用P3.7口控制蜂鸣器。 (5)电路为12MHZ晶振频率工作,起振电路中C1,C2均为30pf。

电路图: 【试验时请仔细阅读后文说明!此图仅为我站制作,并不代表原作者意愿;若您制作成功,望在网络推广。】实验控制流程图如下:

S BIT P2.0 ;定义液晶显示端口标号 RW BIT P2.1 E BIT P2.2 ;******************************************** L50MS EQU 60H L1MS EQU 61H L250MS EQU 62H SEC EQU 65H MIN EQU 64H HOU EQU 63H ;******************************************* org 0000h ljmp main ORG 000BH LJMP TT0 ORG 001BH LJMP T1INT org 1000h main: ;-----------------------;液晶初始化 MOV SP,#70H MOV P0,#01H ;清屏 CALL ENABLE MOV P0,#38H ;8位,2行显示

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

相关文档
最新文档