数据选择器实验报告模板 深圳大学

数据选择器实验报告模板 深圳大学
数据选择器实验报告模板 深圳大学

深圳大学实验报告

课程名称:数字电路

实验项目名称:数据选择器

学院:信息工程学院

专业:通信工程

指导教师:蔡良伟

报告人:张晓林学号:2011130147 班级:通信2班实验时间:2012年11月21日

实验报告提交时间:

教务处制

一、实验仪器:

1. RXB-1B 数字电路实验箱

2. 器件 74LS00 四2输入与非门 74LS153 双4选1数据选择器 二、实验集成元件:

4选1的逻辑表达式:100101102103Y A A D A A D A A D A A D =+++

三、实验任务:

任务一:双4选1数据选择器74LS153功能测试 将双4选1数据选择器74LS153插入实验系统的IC 空插座中。按图2.2.1接线,把数据输入端1D3、1D2、1D1、1D0、控制输入端A1、A0和使能端1ST 接至DLE-6型数字电路试验箱的任意7个逻辑电平开关,输出1Y 接至实验箱电平显示器的任意LED ,设计标2.2.1中的输入状态并将结果填入表2.2.1中

任务二:用74LS153来设计电机控制电路 某工厂有三个车间和一个自备电站,站内有两台发电机X 和Y 。Y 的发电能力是X 的两倍。如果一个车间开工,启动X 就能满足要求;如果两个车间开工,启动Y 就能满足;如果三个车间同时开工,则X 和Y 都应启动。设计控制发电机X 和Y 启动逻辑电路。

四、数据处理分析:

任务一:双4选1数据选择器74LS153功能测试

输入输出

使能端地址端数据端X Y 1ST A1A0D3D2D1D0理论值实验值

0 0 0 1 0 0 0 0 0

0 0 0 0 0 0 1 1 1

0 0 1 1 1 0 0 0 0

0 1 1 0 1 1 1 0 0

0 1 1 1 0 1 0 1 1 表2.2.1

任务二:用74LS153来设计电机控制电路

这里用X表示发电机X,用Y表示发电机Y,A、B、C分别表示三个车间。

由题目得对应的真值表为:

输入输出

使能端选择端发电机X 发电机Y

1ST 2ST A(A1) B(A0) C 理论

实验

理论

实验

1 1 ×××××××

0 1 ×××××××

1 0 ××××××

0 0 0 0 0 0 00 0

0 0 0 0 1 1 1 0 0

0 0 0 1 0 1 1 0 0

0 0 0 1 1 0 0 1 1

0 0 1 0 0 1 1 0 0

0 0 1 0 1 0 0 1 1

0 0 1 1 0 0 0 1 1

0 0 1 1 1 1 1 1 1

由真值表得对应的逻辑表达式为:

X ABC ABC ABC ABC

=+++

Y ABC ABC ABC ABC

=+++

在这个电路中首先要对使能端的选择。这里用一个与非门(74LS00中的一个门)对使能端的选择。只有当1ST、2ST输入为高电平时,输出才为低电平(注:此时使能端为高电平有效,即1ST、2ST没有取非)。1ST为选通发电机X,2ST为选通发电机Y。用一个与非门对1ST、2ST做与非运算目的是为了不管在什么情况下,只要有车间开工,就要保证有足够的电能输送出去,即两台发电机都是选通的。这样就能确保车间正常工作。当发电机选通后,就能对车间开工的情况进行选择了。当A、B、C的值(即各位上对应的数字)相加为0时,发电机没有输出;当A、B、C的值相加为1时,只要发电机X工作就行了;当A、B、C的值相加为2时,只要发电机Y工作就行了;当A、B、C的值相加为3时,这时才要两台发电机同时工作。

设计图:

五、实验结论:

任务一验证了1ST=1时,数据选择器被禁止,输入与输出信号及选择信号无关;1ST=0时,数据选择器正常工作

任务二的函数变量数目m多于数据选择器中数据选择端的数目n,那么就会需要有反变量的输入或其他门电路.。

指导教师批阅意见:

成绩评定:

指导教师签字:

年月日

备注:

注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。

2、教师批改学生实验报告时间应在学生提交实验报告时间后10日内。

深圳大学实验报告

深圳大学实验报告 课程名称:连续式与分页式主存管理的模拟实现 实验项目名称:进程的控制 学院:信息工程学院(软件学院) 专业:软件工程 指导教师:白鉴聪 报告人:罗城龙学号:20XX151095班级:软件1班 实验时间:20XX/6/20 实验报告提交时间:20XX/6/20 教务处制 实验目的与要求: 模拟在连续分配与分页管理两种方式下,主存空间的分配与回收,帮助学生加深了解存储器管理的工作过程。

方法、步骤: 1、根据例程,尝试采用首次适应算法、循环首次适应算法、最佳适应算法其中的一种 或多种算法实现3.2.1的动态分区分配。算法思想请参考课本P108-109的分区分配算法。 2、根据例程,尝试实现3.2.1的分区回收功能。 3、根据例程,尝试实现3.2.2的分页系统功能 4、至少完成上述三项实验内容中的一个。 5、自行设定内存总空间,大小单位为KB,分页管理需要设定每个页的大小。 6、随机设置当前内存分配状态。 7、自行设计作业队列,队列中至少要有5个作业,设定各个作业空间大小,大小要适 中。 8、输出结果要尽量详细清晰,如果输出内容比较多,可以考虑把输出结果保存到文件 中,通过文件来查看。 9、程序代码要尽量加入注释,提高程序的清晰度与可读性。 10、在实验报告中,一方面可以对实验结果进行分析,一方面可以对两种分配方式 进行比较,分析它们的优劣。

实验过程及内容: 循环首次适应算法: 关键源代码: 1.MEM * temp=NULL;//声明一个MEM的指针,用于保留循环的开始位置2.void init() //在初始化函数init()最后加一个语句,用于 { //指针temp的初始化,因为它开始也要指向空 ……… //链的起始 temp = empty; } 3.实现关键函数 void mem_alloc_loop(MEM *pjob) { MEM * pr; //循环首次适应算法 pr = temp; while (pr != NULL) { if (pr->length > pjob->length) { pjob->head = pr->head; //直接把作业数据块插入已分配队列 alloc_insert(pjob);//插入作业数据块到已分配队列 //产生碎片,需要修改被分配空闲区的参数 //产生小碎片,pr指向它 pr->head = pr->head + pjob->length; pr->length = pr->length - pjob->length; temp=pr->link;//指向分配后的下一个指针 printf("!!!!!%s分配成功!!!!!\n", pjob->name); break; } if (pr->length == pjob->length) //刚好满足 { pjob->head = pr->head; //直接把作业数据块插入已分配队列 temp=pr->link;//指向分配后的下一个指针 alloc_insert(pjob); empty_remove(pr); //从空闲队列中删除该空闲区 printf("!!!!!%s分配成功!!!!!\n", pjob->name); break; } //空闲块太小,则指向下一个空闲块。 if (pr->length < pjob->length) { pr = pr->link; } } if(pr==NULL) { pr=empty;

大一计算机实验报告

深圳大学实验报告 课程名称:计算机基础(1)(文科) 实验项目名称:数据库应用 指导教师:宋广为专业: 报告人:李赓学号:2010040679 班级:管院12 班实验时间:2010.11.30 实验报告提交时间:2010.12.14 指导教师批阅意见: 成绩评定: 指导教师签字: 年月日 注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。 2、教师批改学生实验报告时间应在学生提交实验报告时间后10日内。

实验目的与要求: 1.加深对数据库管理系统的直观认识和理解。 2.掌握Access 2003 数据库的创建和打开方式。 3.掌握Access 2003 中数据表的数据和创建方法。 4.掌握Access 2003 中窗体、查询、报表和数据访问页的设计和创建方法。 实验步骤及内容: 1.Access 2003的启动与退出 执行“打开”→“所有程序”→“Microsoft office”→“Microsoft office Access 2003” 命令,或双击桌面上的Access 2003 快键图标,打开Microsoft Access 应用程序 窗口。 2.Access 2003中数据库的创建与打开 执行“文件”→“新建”命令,在主窗口右侧“新建文件”任务窗格的“新建” 栏中单击“空数据库…”,弹出“文件新建数据库”对话框,提示用户指定新建 数据库的位置和名称。 将新建的数据库文件保存在“我的文档”位置。在“文件名”文本框中输入“课 程管理”,“保存类型”列表框中选择“Microsoft office access 数据库(*.mbd)”, 然后单击“创建”按钮。 如果要打开以前建立的数据库文件,则可以在access 主菜单下,执行“文件” →“打开”命令,弹出“打开”对话框,然后在该对话框中选取已经存在的数据 库文件,再单击“打开”按钮。 3.Access 2003 中数据表的创建 设在课程管理数据库中包含“开设课程表”“教书授课信息表”“学生选课信息表” 打开“课程管理”数据库文件,在窗口左侧“对象”列中单击“表”按钮,然后 在窗口右侧双击“使用设计器创建表”,弹出表设计视图。 执行“文件”→“保存”命令,或单击工具栏上的保存图标,弹出“另存为”对 话框,在其中输入“开设课程表”,单击“确定”按钮,即可在数据库中成功创 建“开设课程表”。 执行“文件”→“关闭”命令,或单击菜单栏所在行最右边的关闭按钮,返回“课 程管理”数据库的主窗口。在表对象中双击刚才创建的“开设课程表”,在弹出 的“数据表视图”中逐一输入开课信息,然后执行“文件”→“保存”命令,或 单击工具栏上的保存图标。 执行“文件”→“关闭”命令,或单击菜单栏所在行最右边的关闭按钮,返回“课 程管理”数据库的主窗口。再采用完全类似的方式,闯将“教师授课信息表”和 “学生选课信息表”。 4.Access 2003 中查询的创建 在主窗口左侧的“对象”列中单击“查询”按钮,然后在窗口右侧双击“使用向导创建查询”。在弹出的“简单查询向导”对话框的“表/查询”下拉列表框中选择“表:学生选课信息表”,单击“可用字段”中的“CID”,再单击对话框中的“>” 按钮,将“CID”字段导入“选定的字段”中。采用同样的方式,将“SNO”和“Sname” 字段导入“选定的字段”中。 单击“下一步”按钮,在弹出的对话框中选择“明细”单选框。 单击“下一步”按钮,在弹出对话框的“请为查询指定标题”文本框中输入“选修大学英语课程的学生”,同时选择“修改查询设计”单选框。 单击“完成”按钮,弹出查询设计视图。

深圳大学计导实验报告 网络基本操作

深圳大学实验报告 课程名称: 项目名称: 学院:专业: 报告人:学号:班级: 同组人: 指导教师: 实验时间:提交时间: 声明: 本次实验内容由报告人和同组人独立完成,所有涉及到他人的工作均已说明。报告人和同组人均同意教师及学校为教学活动而引用本实验的内容,且无需事先征得同意和特别说明。 教务处制

一、实验目的 1) 掌握浏览器的基本使用方法。 2) 掌握收发电子邮件的方法。 3) 掌握在网上查找并下载软件的方法。 4) 掌握网络即时通讯软件和BBS的使用方法。 二、实验说明和实验环境 1) 硬件环境:微型计算机,并已连接到Internet。 2) 软件环境:Windows XP中文版、Internet Explorer(简称IE)浏览器程序、Outlook Express 电子邮件管理程序、FTP客户端软件Leapftp、网络即时通信软件Tencent QQ。 三、实验分析设计 (实验原理和设计) 四、主要实验过程(或核心代码说明) (1) 浏览器的基本使用 浏览器的基本使用步骤如下。 1)启动浏览器。在Windows桌面或快速启动栏中,单击图标,启动应用程序IE 6.0。 2) 输入网页地址(URL)。在IE窗口的地址栏输入要浏览页面的统一资源定位器(Uniform Resource Locator,URL),按下Enter键,观察IE窗口右上角的IE标志,等待出现浏览页面的内容。例如,在地址栏输入深圳大学主页的URL(https://www.360docs.net/doc/952194856.html,/),IE浏览器将打开深圳大学的主页,如图9-1所示。

图9-1 用IE6.0打开浏览页面 3) 网页浏览。在IE打开的页面中,包含有指向其他页面的超链接。当将鼠标光标移动到具有超链接的文本或图像上时,鼠标指针会变为“”形,单击鼠标左键,将打开该超链接所指向的网页。根据网页的超链接,即可进行网页的浏览。 图9-2 IE浏览器的菜单和工具栏 4) 断开当前连接。IE浏览器的菜单和工具栏如图9-2所示。单击工具栏中的“停止”按钮,中断当前网页的传输。 5) 重新建立连接。在执行步骤4之后,单击工具栏中的“刷新”按钮,将重新开始 被中断的网页的传输。 6) 保存当前网页信息。使用“文件”菜单的“另存为”命令,将当前网页保存到本地计算机。 7) 保存图像或动画。在当前网页中选择一幅图像或动画,单击鼠标右键,从弹出的快捷菜单中选择“图片另存为”,将该图像或动画保存到本地计算机。 8) 将当前网页地址保存到收藏夹。使用“收藏”菜单的“添加到收藏夹”命令,并在“添加到收藏夹”窗口中选中“允许脱机使用”复选框,如图9-3所示,将当前网页放入收藏夹。 若单击“自定义”按钮,即可激活“脱机收藏夹向导”,利用该向导,可设置脱机浏览内容的数量、如何使脱机网页与网络上的最新网页保持同步、以及是否需要用户名和密码等。 图9-3 添加到收藏夹对话框 9) 在已经浏览过的网页之间跳转。通常的方法是单击工具栏中的“后退”按钮 与“前进”按钮,返回到前一页,或回到后一页。也可以单击工具栏中“后退”与“前进”右侧的“ ”形按钮,从弹出的下拉列表中直接选择某个浏览过的网页。 10) 浏览历史记录 单击工具栏中的“历史”按钮,会在IE窗口的左边打开“历史记录”窗口,该窗口列出了最近一段时间以来所有浏览过的页面。可以按日期、访问站点、访问次数查看历史记录,也可以根据指定的关键词对历史记录进行搜索。 11) 主页设置 使用“工具”菜单中的“Internet选项”命令,打开“Internet选项”对话框。单击“常规”属性页,在“主页”的地址栏中,输入一个URL地址(如https://www.360docs.net/doc/952194856.html,),单击“确定”按钮,即可以将输入的URL设置为IE的主页,如图9-4所示。 也可以通过单击“使用当前页”按钮,将IE浏览器当前打开的页面作为主页;单击“使

深大实验报告模板

深 圳 大 学 实 验 报 告 课程名称: 实验项目名称: 学院: 专业: 指导教师: 报告人: 学号: 班级: 实验时间: 实验报告提交时间: 教务部制 强看线敷设线盒处检测处电气课与相互过度工作资料试试过了解试高中试技,并且作,并差动保要保护

实验目的与要求: 方法、步骤: 、管路敷设技术通过管线不仅可以解决吊顶层配置不规范高中资料试卷问题,而且可保障各类管路习题到位。在管路敷设过程中,要加强看护关于管路高中资料试卷连接管口处理高中资料试卷弯扁度固定盒位置保护层防腐跨接地线弯曲半径标等,要求技术交底。管线敷设技术中包含线槽、管架等多项方式,为解决高中语文电气课件中管壁薄、接口不严等问题,合理利用管线敷设技术。线缆敷设原则:在分线盒处,当不同电压回路交叉时,应采用金属隔板进行隔开处理;同一线槽内强电回路须同时切断习题电源,线缆敷设完毕,要进行检查和检测处理。、电气课件中调试对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行 高中资料试卷调整试验;通电检查所有设备高中资料试卷相互作用与相互关系,根据生产工艺高中资料试卷要求,对电气设备进行空载与带负荷下高中资料试卷调控试验;对设备进行调整使其在正常工况下与过度工作下都可以正常工作;对于继电保护进行整核对定值,审核与校对图纸,编写复杂设备与装置高中资料试卷调试方案,编写重要设备高中资料试卷试验方案以及系统启动方案;对整套启动过程中高中资料试卷电气设备进行调试工作并且进行过关运行高中资料试卷技术指导。对于调试过程中高中资料试卷技术问题,作为调试人员,需要在事前掌握图纸资料、设备制造厂家出具高中资料试卷试验报告与相关技术资料,并且了解现场设备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况 ,然后根据规范与规程规定,制定设备调试高中资料试卷方案。 、电气设备调试高中资料试卷技术电力保护装置调试技术,电力保护高中资料试卷配置技术是指机组在进行继电保护高中资料试卷总体配置时,需要在最大限度内来确保机组高中资料试卷安全,并且尽可能地缩小故障高中资料试卷破坏范围,或者对某些异常高中资料试卷工况进行自动处理,尤其要避免错误高中资料试卷保护装置动作,并且拒绝动作,来避免不必要高中资料试卷突然停机。因此,电力高中资料试卷保护装置调试技术,要求电力保护装置做到准确灵活。对于差动保护装置高中资料试卷调试技术是指发电机一变压器组在发生内部故障时,需要进行外部电源高中资料试卷切除从而采用高中资料试卷主要保护装置。

DSP实验报告-深圳大学-自动化

深圳大学实验报告课程名称:DSP系统设计 实验项目名称:DSP系统设计实验 学院:机电与控制工程学院 专业:自动化 指导教师:杜建铭 报告人1:. 学号:。班级:3 报告人2:. 学号:。班级:3 报告人3:. 学号:。班级:3 实验时间: 实验报告提交时间: 教务处制

实验一、CCS入门试验 一、实验目的 1. 熟悉CCS集成开发环境,掌握工程的生成方法; 2. 熟悉SEED-DEC2812实验环境; 3. 掌握CCS集成开发环境的调试方法。 二、实验仪器 1.TMS320系列SEED-DTK教学试验箱24套 2. 台式PC机24台 三、实验内容 1.仿真器驱动的安装和配置 2. DSP 源文件的建立; 3. DSP程序工程文件的建立; 4. 学习使用CCS集成开发工具的调试工具。 四、实验准备: 1.将DSP仿真器与计算机连接好; 2.将DSP仿真器的JTAG插头与SEED-DEC2812单元的J1相连接; 3.启动计算机,当计算机启动后,打开SEED-DTK2812的电 源。SEED-DTK_MBoard单元的+5V,+3.3V,+15V,-15V的电源指示灯及SEED-DEC2812的电源指示灯D2是否均亮;若有不亮,请断开电源,检查电源。 五、实验步骤 (一)创建源文件 1.进入CCS环境。

2.打开CCS选择File →New →Source File命令 3.编写源代码并保存 4.保存源程序名为math.c,选择File →Save 5.创建其他源程序(如.cmd)可重复上述步骤。 (二)创建工程文件 1.打开CCS,点击Project-->New,创建一个新工程,其中工程名及路径可任意指定弹 出对话框: 2.在Project中填入工程名,Location中输入工程路径;其余按照默认选项,点击完成 即可完成工程创建; 3.点击Project选择add files to project,添加工程所需文件;

镍的硫酸溶液中的钝化行为-实验报告模板副本

深圳大学实验报告 课程名称:物理化学实验(2) 实验项目名称:实验一镍的硫酸溶液中的钝化行为学院:化学与化工学院 专业: 指导教师: 报告人:学号:班级: 实验时间: 实验报告提交时间: 教务部制

2.动态法控制电极电势以较慢的速度连续地改变(扫描),并测量对应电势下的瞬间电流值,并以瞬时电流与对应的电极电势作图,获得整个的极化曲线。所采用的扫描速度(即电势变化的速率)需要根据研究体系的性质选定。一般来说,电极表面建立稳态的速度愈慢,则扫描速率也应愈慢,这样才能使所测得的极化曲线与采用静态法接近。 上述两种方法都已获得了广泛的应用。从测定结果的比较可以看出,静态法测量结果虽较接近稳态值,但测量时间太长。本实验采用动态法。 线性电位扫描示意图钝化曲线示意图用动态法测量金属的阳极极化曲线时,对于大多数金属均可得到如图所示的形式。图中的曲线可分为四个区域: (1)AB段为活性溶解区,此时金属进行正常的阳极溶解,阳极电流随电位的变化符合Tafel公式。 (2)BC段为过渡钝化区,电位达到B点时,电流为最大值,此时的电流称为纯化电流(I钝),所对应的电位称为临界电位或钝化电位(E钝),电位过B点后,金属开始钝化,其溶解速度不断降低并过渡到钝化状态(C点之后)。 (3)CD段为弱定钝化区。在该区域中金属的溶解速度基本上不随电位而改变。此时的电流密度称为钝态金属的稳定溶解电流密度。 (4)DE段为过钝化区,D点之后阳极电流又重新随电位的正移而增大。此时可能是高价金属离子的产生,也可能是水的电解而析出O2,还可能是两者同时出现。 三、实验仪器及试剂 DHZ型电化学工作站测量系统,电脑 电解池(三颈瓶) 研究电极:镍电极 参比电极:双液接饱和甘汞电极(SCE) 辅助电极:铂电极 0.5 moldm-3 H2SO4溶液 饱和氯化钾溶液

深圳大学 计算机系统(1) 实验报告4:四子棋

深圳大学实验报告 课程名称计算机系统1 项目名称简易版四子棋游戏设计 学院计算机与软件学院 专业 指导教师 报告人学号 实验时间 2017年5月8日 提交时间 2017年6月4日星期日 教务处制

一、实验目的与要求 (1)分析和理解试验指定的问题; (2)掌握子函数的编写与使用; (3)利用LC-3的汇编代码设计实现比较复杂程序。 二、实验内容与方法 实验内容:在LC-3中实现简易版四子棋的游戏,两位选手通过键盘和输出窗口轮流交互操作,棋盘由6 * 6的网格组成。 游戏规则如下: 两位选手依次轮流落子; 选手不能悔棋; 有子的地方不能继续落子; 直到有一方的四个棋子能够连成一条水平线、垂直线或者是对角线; 如果棋盘已满,无人获胜,则平局。 棋盘显示要求: 游戏最初时应该打印空的棋盘,可以用ASCII码"-"(即ASCII 码x002D)来表示该处为空,"O"(ASCII 码x004F)表示第一位选手的棋子,"X" (ASCII 码x0058)来表示第二位选手的棋子,为了让棋盘更易于观察,在各列间加一个空格,第6列之后不要添加。初始棋盘如下: 选手一始终先下第一步棋,然后两者轮流落子,在每次落子之后,应该打印该选手的信息,提示他落子,以选手一为例,应该打印信息如下: Player 1, choose a column: 为了明确选手的落子的位置,该选手应该输入数字1-6,然后回车,数字1-6指示在落子所在的列,从左到右,无需输入行号,程序应默认从行号6到行号1递减的顺序填入该棋子,若前后输入的列号相同,则行号减一。例如,如果选手第一次在左起第二列落子,应该输入2,然后回车,则该棋子落在行6列2处,当后面输入的列号再次为2时,则将棋子落子行5列2处,以此类推,详情见后续示例输出。程序应该确保选手输入的数字对应正确的列的范围,如果输入不合理,应该输出一条错误信息,提示该选手继续输入,例如,如果对于选手一: Player 1, choose a column: D Invalid move. Try again. Player 1, choose a column: 7

FPGA一位全加器设计实验报告

题目:1位全加器的设计 一.实验目的 1.熟悉QUARTUSII软件的使用; 2.熟悉实验硬件平台的使用; 3.掌握利用层次结构描述法设计电路。 二.实验原理 由于一位全加器可由两个一位半加器与一个或门构成,首先设计半加器电路,将其打包为半加器模块;然后在顶层调用半加器模块组成全加器电路;最后将全加器电路编译下载到实验箱,其中ain,bin,cin信号可采用实 验箱上SW0,SW1,SW2键作为输入,并将输 入的信号连接到红色LED管 LEDR0,LEDR1,LEDR2上便于观察,sum,cout 信号采用绿色发光二极管LEDG0,LEDG1来 显示。 三.实验步骤 1.在QUARTUSII软件下创建一工程,工程名为full_adder,芯片名为EP2C35F672C6; 2.新建Verilog语言文件,输入如下半加器Verilog语言源程序; module half_adder(a,b,s,co); input a,b; output s,co; wire s,co; assign co=a & b; assign s=a ^ b; Endmodule 3.保存半加器程序为,进行功能仿真、时序仿真,验证设计的正确性。 其初始值、功能仿真波形和时序仿真波形分别如下所示

4.选择菜单File→Create/Update→Create Symbol Files for current file,创建半加器模块; 5.新建一原理图文件,在原理图中调用半加器、或门模块和输入,输出引脚,按照图1所示连接电路。并将输入ain,bin,cin连接到FPGA的输出端,便于观察。完成后另保存full_adder。 电路图如下 6.对设计进行全编译,锁定引脚,然后分别进行功能与时序仿真,验证全加器的逻辑功能。其初始值、功能仿真波形和时序仿真波形分别如下所示

8位全加器实验报告

实验1 原理图输入设计8位全加器 一、实验目的: 熟悉利用QuartusⅡ的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个8位全加器的设计把握利用EDA软件进行电子线路设计的详细流程。 二、原理说明: 一个8位全加器可以由8个1位全加器构成,加法器间的进位可以串行方式实现。即将低位加法器的进位输出cout与其相邻的高位加法器的最低进位输入信号cin相接。而一个1位全加器可以按照本章第一节介绍的方法来完成。 三、实验内容: 1:完全按照本章第1节介绍的方法与流程,完成半加器和全加器的设计,包括原理图输入、编译、综合、适配、仿真。 2:建立一个更高的原理图设计层次,利用以上获得的1位全加器构成8位全加器,并完成编译、综合、适配、仿真和硬件测试。 四、实验环境: 计算机、QuartusII软件。 五、实验流程: 实验流程: 根据半加器工作原 理,建立电路并仿 真,并将元件封装。 ↓ 利用半加器构成一位 全加器,建立电路并 仿真,并将元件封 装。 ↓ 利用全加器构成8位全 加器,并完成编译、综 合、适配、仿真。 图1.1 实验流程图

六、实验步骤: 1.根据半加器工作原理建立电路并仿真,并将元件打包。(1)半加器原理图: 图1.2 半加器原理图(2)综合报告: 图1.3 综合报告: (3)功能仿真波形图4: 图1.4 功能仿真波形图

时序仿真波形图: 图1.5 时序仿真波形图 仿真结果分析:sout为和信号,当a=1,b=0或a=0,b=1时,和信号sout为1,否则为0.当a=b=1时,产生进位信号,及cout=1。 (4)时序仿真的延时情况: 图1.6 时序仿真的延时情况 (5)封装元件: 图1.7 元件封装图 2. 利用半加器构成一位全加器,建立电路并仿真,并将元件封装。 (1)全加器原理图如图: 图2.1 全加器原理图

深圳大学 实验报告数字逻辑数据选择器

深圳大学实验报告 课程名称:数字逻辑与数字系统 实验项目名称:数据选择器 学院:计算机与软件学院 专业: 指导教师:雷海军 报告人:林庆遂学号:2011150026 班级:1班实验时间:2012-11-09 实验报告提交时间:2012-11-13 教务处制

一、实验要求 (1)画出所设计的实验电路; (2)自拟表格,并记录静态测试的结果。 二:实验仪器及材料: 1.RXS—1B数字电路实验箱 2.器件 74LS00 四2输入与非门 1片 74LS153 双4选1数据选择器 1片 三、实验任务: 任务一:74LS153的功能测试 将74LS153插入实验系统的IC空插座上。按图接线,把数据输入端1D3、1D2、1D1、1D0,控制输入端A1、A0和使能端1ST接至数字电路实验箱的任 意7个逻辑电平开关,输出1Y接至实验箱电平显示器的任意LED,设计表中的输入状态并将测试结果填入表中。 图1、74LS153功能测试的接线图 任务二:用74LS153设计电机控制电路 某工厂有三个车间和一个自备的电站,站内有两台发电机X和Y。Y的发电能力是X的两倍。如果一个车间开工,启动X就能满足要求;如果两个车间开工,启动Y就能满足;如果三个车间同时开工,则X和Y都应启动。 设计控制发电机X和Y启动逻辑电路。 (1)设计方法提示。设三个车间为A、B、C,开工为“1”,停工为“0”;

发电机X和Y启动为“1”,停止为“0”。可按题意列出A、B、C和X、Y 之间的关系真值表,然后经化简求出X和Y与变量A、B、C的函数表达式。 要求用 74LS153及必要的与非门来实现。 (2)实验方法提示。静态测试方法的输入为固定逻辑电平1和0信号,输入信号的改变由实验者来控制。这时输出状态变化极慢,人眼可观察到。 此方法是最基本数字电路功能测试方法。 先将A、B、C端分别接到实验的任意三个逻辑电平开关,再将输出端X 和Y分别接至实验箱任意两个LED。然后操纵三个逻辑开关(代表车间开工情况)观察两只指示灯的发光情况,最后根据测试结果验证所设计的电路是否满足任务要求。 四、实验结果与数据分析: 任务一: 表1. 74LS153功能表 任务二: 对于X 由表可得:D0=C,D1=C,D2=C,D3=C 对于Y

4位全加器实验报告.doc

四位全加器 11微电子黄跃1117426021 【实验目的】 采用modelsim集成开发环境,利用verilog硬件描述语言中行为描述模式、结构描述模式或数据流描述模式设计四位进位加法器。 【实验内容】 加法器是数字系统中的基本逻辑器件。多位加法器的构成有两种方式:并行进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。通常,并行加法器比串行级联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。 实现多位二进制数相加的电路称为加法器,它能解决二进制中1+1=10的功能(当然还有 0+0、0+1、1+0). 【实验原理】 全加器 除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图4为全 加器的方框图。图5全加器原理图。被加数A i 、加数B i 从低位向本位进位C i-1 作 为电路的输入,全加和S i 与向高位的进位C i 作为电路的输出。能实现全加运算 功能的电路称为全加电路。全加器的逻辑功能真值表如表2中所列。 信号输入端信号输出端 A i B i C i S i C i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

表2 全加器逻辑功能真值表 图4 全加器方框图 图5 全加器原理图 多位全加器连接可以是逐位进位,也可以是超前进位。逐位进位也称串行进位,其逻辑电路简单,但速度也较低。 四位全加器 如图9所示,四位全加器是由半加器和一位全加器组建而成: 图9 四位全加器原理图 【实验步骤】 (1)建立新工程项目: 打开modelsim软件,进入集成开发环境,点击File→New project建立一

加法器实验报告

加法器实验报告 篇一:加法器实验报告 实验 __一__ 【实验名称】 1位加法器 【目的与要求】 1. 掌握1位全加器的设计 2. 学会1位加法器的扩展 【实验内容】 1. 设计1位全加器 2. 将1位全加器扩展为4位全加器 3. 使4位的全加器能做加减法运算 【操作步骤】 1. 1位全加器的设计 (1)写出1位全加器的真值表 (2)根据真值表写出表达式并化简 (3)画出逻辑电路 (4)用quartusII进行功能仿真,检验逻辑电路是否正确,将仿真波形截图并粘贴于此 (5)如果电路设计正确,将该电路进行封装以用于下一个环节 2. 将1位全加器扩展为4位全加器 (1)用1位全加器扩展为4位的全加器,画出电路图

(2)分别用两个4位补码的正数和负数验证加法器的正确性(注意这两 个数之和必须在4位补码的数的范围内,这两个数包括符号在内共4位),用quartusII进行功能仿真并对仿真结果进行截图。 3. 将4位的全加器改进为可进行4位加法和减法的运算器 (1)在4位加法器的基础上,对电路进行修改,使该电路不仅能进行加 法运算而且还能进行减法运算。画出该电路 (2)分别用两个4位补码的正数和负数验证该电路的正确性(注意两个 数之和必须在4位补码的数的范围内),用quartusII进行功能仿真并对仿真结果进行截图。 【附录】 篇二:加法器的基本原理实验报告 一、实验目的 1、了解加法器的基本原理。掌握组合逻辑电路在Quartus Ⅱ中的图形输入方法及文本输入方法。 2、学习和掌握半加器、全加器的工作和设计原理 3、熟悉EDA工具Quartus II和Modelsim的使用,能够熟练运用Vrilog HDL语言在Quartus II下进行工程开发、调试和仿真。

深圳大学学生实验报告模板_实验6(1)

深圳大学实验报告课程名称:计算机基础 实验项目名称:算法设计工具 学院: 专业: 指导教师:蔡式东 报告人:学号:班级: 实验时间: 实验报告提交时间: 教务处制

一、实验目的 1.加深对算法设计和流程图的认识和理解。 2.掌握算法设计工具Raptor 的基本操作。 2.掌握顺序结构、选择结构和循环结构的设计方法。 3.掌握Raptor 的子图和子程序设计方法。 二、实验环境 1.硬件设备:PC 机。 2.软件环境:Windows 7中文版,Raptor 4.0汉化版 三、实验 Raptor 工作环境:下载与安装Raptor. 1. 顺序结构:完成练习题1,见实验指导书178页。 注:若三角形的三条边分别为:a 、b 、 c,则三角形面积为: )(2 1p ,c)-b)(p -a)(p -p(p S c b a ++==其中: 2. 选择结构,循环结构: 统计n 个学生的平均成绩,输入n 值;每个学生的成绩score 由输入语句输入,计算n 个学生的成绩平均值,并输出(其输出的形式为:“The average of * scores is ×”,其中*为输入的学生人数n ,×为计算结果)。当输入的成绩不在0~100范围内时,输出错误提示。(使用选择结构,循环结构,参考实验指导书173~174页【案例6-3】) 3.选作:子程序应用:编写计算n!的子程序,并通过main 子图调用该子程序计算S = 3!+4!+6!。 4.完成实验报告。 将各练习题命名为“姓名+算法设计+题×”,实验报告命名为“姓名+算法设计实验报告”,在截止日期前提交各练习题文件(扩展名为.rap 的文件)和实验报告。 四、实验结果与分析 (将Raptor 程序粘贴到此处,并说明是否达到设计目标) 五、思考题 1、若将变量ID 赋值为“2015002398”(即:ID ←“2015002398”),则ID 能否参与算术运算?为什么? 答:

数电实验报告半加全加器

实验二 半加/减器与全加/减器 一、 实验目的: (1) 掌握全加器和半加器的逻辑功能。 (2) 熟悉集成加法器的使用方法。 (3) 了解算术运算电路的结构。 二、 实验设备: 1、 74LS00 (二输入端四与非门) 2、 74LS86 (二输入端四异或门) 3、 数字电路实验箱、导线若干。 Ver 4B 4A 4¥ 3B 3A 3Y 1A IB !Y 2A 2B 2Y GND (74LS86引脚图) 三、 实验原理: 两个二进制数相加,叫做半加,实现半加操作的电路,称为半加器。 A 表示 被加数,B 表示加数,S 表示半加和,Co 表示向高位的进位。 全加器能进行加数、被加数和低位来的信号相加,并给出该位的进位信号以 及和。 四、 实验内容: 用74LS00和74LS86实现半加器、全加器的逻辑电路功能。 (一)半加器、半减器 M=0寸实现半加,M=1时实现半减,真值表如下: (74LS00引脚 )

功能M A B S C 半加00000 00110 01010 01101 半减10000 10111 11010 11100 —s +/- ——co M (半加器图形符号) 2、 ⑴S真值表: 00011110 00110 11001 A ⑵C真值表: 00011110 00000 10101 C 二B(A二M)

(二)全加器、全减器 S CO C^BC i-1 ?(M 十 A )(B 十 C ) 、实验结果 半加器: S 二 AB AB = A 二 B C =B (A 二 M ) 全加器: S = A 二 B - C i-1 G 二GM C 2M CI B +/一

全加器实验报告

全加器设计实验报告 姓名: 班级: 学号:

实验目的: 1.熟悉QuartusⅡ原理图设计流程,学习简单电路的设计方法、输入步骤、层次化步骤。 2.掌握QuartusII的文本输入方式的设计过程,理解VHDL语言的结构级描述方法,学习元件例化语句的设计方法。 实验原理:一位全加器可以用两个半加器及一个或门连接而成。要求使用原理图输入的方法先进行底层半加器设计,再建立上层全加器设计文件,调用半加器和或门符号,连线完成原理图设计。 全加器可以用两个半加器和一个或门连接而成,在半加器描述的基础上,采用COMPONENT语句和PORT MAP语句就可以很容易地编写出描述全加器的程序。 一.原理图 1.半加器 实验步骤 1.打开Quartus Ⅱ软件,选择新建命令,在新建对话框中选择原理图文件编辑输入项,完成新建进入原理图编辑窗口。 2.在原理图编辑窗口任意位置右击鼠标,将出现快捷菜单,选择其中的输入元件项insert symbol,按照所设计的电路,放置器件,排版,连线,完成设计后选择另存为命令,命名为h_adder存放在指定文件夹中。

3.完成半加器的设计后,重复新建命令,开始进行全加器设计,在新建的原理图中,双击鼠标,在弹出的窗口中选择project选项,将之前存入的h_adder元件,放入原理图中。 2.全加器 实验步骤 1.新建工程,在新建的工程中建立VHDL语言编辑文件,在编辑窗口处,输入设计的半加器全加器程序。 2.将设计好程序进行编译,没有错误之后定义全加器五个引脚所对应耳朵硬件电路的引脚号。 3.烧录程序,调试,验证程序是否合理。

二.程序

深圳大学计算机基础实验报告

深圳大学实验报告 课程名称:大学计算机基础1(文科) 实验项目名称:电子表格处理 学院:管理学院 专业:暂无 指导教师:牛奔 报告人:陈思涯学号:2012040064 班级:2班 实验时间:2012-12-01 实验报告提交时间:2012-12-11

2、创建工作簿 (1)练习在工作表中输入数据,包括文字常量、数值、日期与时间、公式及函数的输入。 (2)完成输入后执行“文件”菜单的“保存”命令,出现“另存为”对话框,在“保存位置”选择保存的文件路径,输入文件名,并在“保存类型”中选择“Microsoft Office Excel 工作簿”,单击“保存”按钮。

b、对齐方式 单元格中的数据默认对齐方式是,水平方向文字左对齐、数值右对齐、垂直方向靠下对齐。使用“对齐”标签改变默认的对齐方式。 c、字体、字形、字号及颜色 使用“字体”标签,设置选定单元格数据的字体、字形、字号、颜色、下划线。 d、边框 使用“边框”标签,给选定的单元格设置边框线以及边框线的线型与颜色。e、图案

5、管理工作表 (1)选定工作表 用鼠标单击位于工作表窗口底部该工作表的名字选定该工作表。也可按住Ctrl键不放,单击多张工作表名字,同时选定它们为当前工作表。 (2)工作表改名 双击工作表名字,当它处于被选中状态时,输入新的工作表名。如: (3)插入新的工作表 执行“插入”菜单的“工作表”命令,在当前工作表之前插入一张新的工作表。 (4)删除工作表 选定需要删除的工作表,使其成为当前工作表,然后执行“编辑”菜单的“删除工作表”命令,永久性删除该工作表。

)移动或复制工作表 选定要移动的工作表,执行“编辑”菜单的“移动或复制工作表”命令,在“移动或复制工作表”对话框中选择要移动到的目的工作簿、要移动到的工作表位置,单击“确定”。 在以上操作的同时,选中对话框中的“建立副本”复选框复制工作表。 )保护数据 a.保护工作簿 执行“工具”菜单的“保护”命令,在其子菜单中选择“保护工作簿”命令,出现“保护工作簿”对话框。保护“结构” 进行改名、删除、改变次序等操作。保护“窗口” 簿窗口,其大小被固定。设置“密码”后,如果执行“撤消工作簿保护”命令,要输入正确的口令。 b.保护工作表

八位加法器设计实验报告

实验四:8位加法器设计实验 1.实验目的:熟悉利用quartus原理图输入方法设计简单组合电路,掌握层次化设计方法。 2.实验原理:一个八位加法器可以由八个全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相接。 3.实验任务:完成半加器,全加器,八位加法器设计,使用例化语句,并将其设计成一个原件符号入库,做好程序设计,编译,程序仿真。 1)编译成功的半加器程序: module h_adder(a,b,so,co); input a,b; output so,co; assign so=a^b; assign co=a&b; endmodule 2)编译成功的全加器程序: module f_adder(ain,bin,cin,cout,sum); output cout,sum;input ain,bin,cin; wire net1,net2,net3; h_adder u1(ain,bin,net1,net2); h_adder u2(.a(net1),.so(sum),.b(cin),.co(net3));

or u3(cout,net2,net3); endmodule 3)编译成功的八位加法器程序: module f_adder8(ain,bin,cin,cout,sum); output [7:0]sum; output cout;input [7:0]ain,bin;input cin; wire cout0, cout1, cout2 ,cout3, cout4,cout5,cout6; f_adder u0(.ain(ain[0]),.bin(bin[0]),.cin(cin),.sum(sum[0]) ,.cout(cout0)); f_adder u1(.ain(ain[1]),.bin(bin[1]),.cin(cout0),.sum(sum[1 ]),.cout(cout1)); f_adder u2(.ain(ain[2]),.bin(bin[2]),.cin(cout1),.sum(sum[2 ]),.cout(cout2)); f_adder u3(.ain(ain[3]),.bin(bin[3]),.cin(cout2),.sum(sum[3 ]),.cout(cout3)); f_adder u4(.ain(ain[4]),.bin(bin[4]),.cin(cout3),.sum(sum[4

深圳大学单级交流放大电路实验报告

深圳大学实验报告课程名称:模拟电路 实验项目:单级交流放大电路 学院:信息工程学院 专业:电子信息工程 指导教师: 报告人:学号:班级: 实验时间:2016.11.03 实验报告提交时间:2016.11.17 教务处制

一、实验目的 1、熟悉电子元器件和模拟电路实验箱; 2、掌握放大电路静态工作点的调试方法及其对放大电路性能的影响; 3、学习放大电路的动态性能。 二、实验仪器 1.示波器 2.信号发生器 3.数字万用表 三、实验任务 任务一装接电路与简单测量 第一,连接好电路后,先不要打开总开关,应该先检查所连电路是否正确,确保无误后再打开总开关,避免烧坏实验箱和电路板; 第二,电路板和实验箱之间的电源连接部分要插紧,特别是电路板的接地孔和实验箱上的接地孔要用单支线连接起来,这样才能确保电路的有效供电,否则容易烧坏电路板和实验箱。 用万用表判定实验箱上三极管V的极性和好坏,并测量β。(三极管为3DG6、NPN型三极管,放大倍数β一般为24~45。) ①判定基极。将数字万用表旋钮开关置于蜂鸣档,用红表笔接三极管任一脚,用黑表笔分别去碰另两个脚,如果此时测得三极管的两个脚是导通状态,那么此三极管为NPN型,红表笔接触的脚是该三极管的基极b;如果另外两个脚没有导通,再将红表笔换三极管的另外两个脚,按上面步骤反复测量是否导通,直到找到基极b;如果最后都没有找到基极b,那么该三极管很可能为PNP型。此时,改用黑表笔接三极管任一脚,再用红表笔分别去碰另两个脚,如果此时测得三极管的两个脚是导通状态,那么此三极管为PNP型,黑表笔接触的脚是该三极管的基极b;如果另外两脚没有导通,再将黑表笔换接三极管的另外两个脚,按上面步骤反复测量是否导通,直到找到基极b。 ②选择万用表的hFE档,将NPN型三极管插到NPN的小孔内,已判断出的基极b插到

实验报告封面格式doc

实验报告封面格式 实 实验课程: 学生姓名: 学号: 专业班级: 验报告 目录 实验一、建筑CAD操作系统 实验二、 AutoCAD的基本绘制命令、编辑命令与操作实验三、 实验四、 实验五、 实验六、 实验七、 实验八、绘制二维建筑总平面渲染图绘制建筑总平面图和建筑局部大样图 AutoCAD的三维建模三维渲染软件的应用与操作图像处理软件的应用与操作绘制三维渲染图 实验一基本设置与文件管理实验二二维图形的绘制实验三 实验四

实验五 实验六 实验七 实验八 实验九 实验十 实验十一 实验十二 实验十三 二维图形的绘制二维图形的编辑二维图形的编辑辅助绘图和显示控制对象特性及其应用块及块的属性和图案填充图形文字标注图形尺寸标注绘图高级技巧及查询功能、三维图形绘制初步土木工程图形的绘制土木工程图形的绘制 报告要求: 报告一律使用A4纸,报告模版的封面必须需打印,封面必须填写完整,实验报告内容只能手写,报告末尾必须保留教师评语的地方和分数框。报告内容包括:一、实验目的二、实验原理三、实验仪器四、实验内容 五、数据记录 六、数据处理:数据处理包括数据计算及作图 数据计算规范:必须先有文字公式,然后按公式的变量

顺序代入数据, 再写出结果。结果的有效数字位数必须按有效数字运算规则进行取舍。例1、某物理量的测量公式为:Y 图片已关闭显示,点此查看 ? 8mgLD ,式中各量的测量结果如下: 2 8mgLD8????10?2??10?2Y????1010 Pa2?22?2?2 ??(?10)??10??10 作图规范 七、实验陈述:结果实验结果包括测量结果的规范表示和观察现象、 研究规律所得出的结论。测量结果的规范表示: 八、认真进行实验总结和完成思考题 实验总结包括对本实验的原理、方法、仪器、不确定度评定的进一步探讨或改进建议。要有具体分析,切忌泛泛空谈。有则写,无则免。 九、教师评语及分数框 附件1:报告模版:报告个部分的大小按需求进行适当调整。 1 图片已关闭显示,点此查看

相关文档
最新文档