1.点位的精确计算

1.点位的精确计算
1.点位的精确计算

第三节框架结构的计算简图.doc

第三节框架结构的计算简图 4.3.1 梁、柱截面尺寸 框架梁、柱截面尺寸应根据承载力、刚度及延性等要求确定。初步设计时,通常由经验或估算先选定截面尺寸,以后进行承载力、变形等验算,检查所选尺寸是否合适。 1、梁截面尺寸确定 2、柱截面尺寸 柱截面尺寸可直接凭经验确定,也可先根据其所受轴力按轴心受压构件估算,再乘以适当的放大系数以考虑弯矩的影响。即

框架柱的截面宽度和高度均不宜小于300mm,圆柱截面直经不宜小于350mm,柱截面高宽比不宜大于3。为避免柱产生剪切破坏,柱净高与截面长边之比宜大于4,或柱的剪跨比宜大于2。 3、梁截面惯性矩 在结构内力与位移计算中,与梁一起现浇的楼板可作为框架梁的翼缘,每一侧翼缘的有效宽度可取至板厚的6倍;装配整体式楼面视其整体性可取等于或小于6倍;无现浇面层的装配式楼面,楼板的作用不予考虑。设计中,为简化计算,也可按下式近似确定梁截面惯性矩I: 4.3.2 框架结构的计算简图 1、计算单元 框架结构房屋是空间结构体系,一般应按三维空间结构进行分析。但对于平面布置较规则的框架结构房屋,为了简化计算,通常将实际的空间结构简化为若干个横向或纵向平面框架进行分析,每榀平面框架为一计算单元。 就承受竖向荷载而言,当横向(纵向)框架承重,且在截取横向(纵向)框架计算时,全部竖向荷载由横向(纵向)框架承担,不考虑纵向(横向)框架的作用。当纵、横向框架混合承重时,应根据结构的不同特点进行分析,并对竖向荷载按楼盖的实际支承情况进行传递,这时竖向荷载通常由纵、横向框架共用承担。

2、计算简图 在框架结构的计算简图中,梁、柱用其轴线表示,梁与柱之间的连接用节点表示,梁或柱的长度用节点间的距离表示,框架柱轴线之间的距离即为框架梁的计算跨度;框架柱的计算高度应为各横梁形心轴线间的距离,当各层梁截面尺寸相同时,除底层外,柱的计算高度即为各层层高。对于梁、柱、板均为现浇的情况,梁截面的形心线可近似取至板底。对于底层柱的下端,一般取至基础顶面;当设有整体刚度很大的地下室;且地下室结构的楼层侧向刚度不小于相邻上部结构楼层侧向刚度的2倍时,可取至地下室结构的顶板处。

四位二进制同步加法计数器(缺0011 0100 0101 0110)

成绩评定表

课程设计任务书

摘要 本次课设题目为四位二进制加法计数器(缺0011 0100 0101 0110)。 首先在QuartusII8.1中建立名为count16的工程,用四位二进制加法计数器的VHDL语言实现了四位二进制加法计数器的仿真波形图,同时进行相关操作,锁定了所需管脚,将其下载到实验箱。 然后,在Multisim软件中,通过选用四个时钟脉冲下降沿触发的JK触发器和同步电路,画出其时序图,卡诺图,建立相关方程,做出相关计算,完成四位二进制加法计数器(缺0011 0100 0101 0110)的驱动方程。在Multisim软件里画出了四位二进制加法计数器的逻辑电路图。经过运行,分析由红绿灯的亮灭顺序及状态,和逻辑分析仪里出现波形图。说明四位二进制加法计数器顺利完成。 关键词:计数器;VHDL语言;仿真;触发器。

目录 一、课程设计目的 (1) 二、设计框图 (1) 三、实现过程 (2) 1、QUARTUS II实现过程 (2) 1.1建立工程 (2) 1.2编译程序 (7) 1.3波形仿真 (10) 1.4 仿真结果分析 (14) 1.5引脚锁定与下载 (14) 2、MULTISIM实现过程 (16) 2.1求驱动方程 (16) 2.2画逻辑电路图 (19) 2.3逻辑分析仪的仿真 (20) 2.4结果分析 (21) 2.5自启动判断 (22) 四、总结 (23) 五、参考书目 (24)

一、课程设计目的 1 了解同步加法计数器工作原理和逻辑功能。 2 掌握计数器电路的分析、设计方法及应用。 3 学会正确使用JK 触发器。 二、设计框图 状态转换图是描述时序电路的一种方法,具有形象直观的特点,即其把所用触发器的状态转换关系及转换条件用几何图形表示出来,十分清新,便于查看。 在本课程设计中,四位二进制同步加法计数器用四个CP 下降沿触发的JK 触发器实现,其中有相应的跳变,即跳过了0011 0100 0101 0110四个状态,这在状态转换图中可以清晰地显示出来。具体结构示意框图和状态转换图如下: 1010 101111001101111011110 /1 /1000 101101110010000100000/0/0/0/0/0/0/0/0/0/????←????←????←????←????←↓↑???→????→????→????→????→? B:状态转换图

框架结构设计

第一章:工程概况和结构设计方案 2.1 工程概况 2.1.1设计依据: (一)工程设计使用年限: 本工程设计使用年限为 50 年。 (二)自然条件: 1.基本风压: )KN (2 0W =0.45 2.地面粗糙程度:B 类。 3.基本雪压: 0.65 KN/㎡。 4.工程地质见下表: 表2-1 拟建场地工程地质情况

地下水情况: 无侵蚀性,最高水位距地表 -2.0 m。 2.1.2 设计要求: (一)本工程主体为钢筋混凝土框架结构,抗震设防烈度为7度,设计地震分组为第I分组,基本地震加速度为0.10g,场地类别为III类,现浇框架抗震等级为三级。层高4.5米。楼盖及屋盖均采用现浇钢筋混凝土结构,板厚120mm。 (二)设计荷载: (1)不上人屋面活荷载 0.5 KN/㎡ (2)屋面雪荷载 0.65 KN/㎡ (3)车间活荷载标准值为 3.5KN/㎡。 (4)楼面永久荷载 3.80 KN/㎡ (5)屋面永久荷载 3.98 KN/㎡ 2.2 结构设计方案 2.2.1

图2-1 框架结构的计算简图 图2-2 纵向框架组成的空间结构 本方案中,按照纵向的平面框架进行计算。 2.2.2梁柱截面尺寸的初步确定 梁截面尺寸估算 梁截面高度一般取梁跨度的 1/12~1/8进行估算,梁宽取梁高的1/3~1/2。由此估算的框架梁的截面尺寸如下: 主框架梁:b×h=300mm×750mm 次梁: b×h=250mm×600mm 表2-2 梁截面尺寸(mm) 柱截面尺寸估算依据 (一)根据柱的轴压比限值按下列公式计算: 1.柱组合的轴压力设计值N=βFg E n 注:β考虑地震作用组合后柱轴压力增大系数。 F按简支状态计算柱的负载面积。由图二可知边柱及中柱的负载面积分别为7.2×3.5和㎡和7.2×6.8㎡。 g E 折算在单位建筑面积上的重力荷载代表值,可近似的取12KN/m2。 n为验算截面以上的楼层层数。 2.Ac≥N/uNfc

1静力学基本知识与结构计算简图

教案 专业:道路桥梁工程技术课程:工程力学 教师:刘进朝 学期:2010-2011-1 教案首页

教学内容:

课题1 静力学基本知识与结构计算简图 一、静力学基本概念 1.力的概念 ※定义:力是物体间相互的机械作用,这种作用使物体的运动状态发生改变和变形状态发生改变。 ※力的三要素:大小,方向,作用点 集中力:例汽车通过轮胎作用在桥面上的力。 2.力系的概念 定义——指作用在物体上的一群力。 根据力系中各力作用线的分布情况可将力系分为平面力系和空间力系两大类。 若两个力系分别作用于同一物体上时,其效应完全相同,则称这两个力系为等效力系。 用一个简单的等效力系(或一个力)代替一个复杂力系的过程称为力系的简化。 力系的简化是工程静力学的基本问题之一。 3.刚体的概念:指在力的作用下,大小和形状都不变的物体。 4.平衡的概念 平衡——指物体相对于惯性参考系保持静止或作匀速直线运动的状态。 二、静力学基本公理 公理1:二力平衡公理。 作用于刚体上的两个力,使刚体平衡的必要与充分条件是:这两个力大小相等,方向相反,作用线共线,作用于同一个物体上(如图所示)。 (a)(b) 注意:①对刚体来说,上面的条件是充要的②对变形体来说,上面的条件只是必要条件 例如,如图所示之绳索 二力构件(二力杆):在两个力的作用下保持平衡的构件。 例如,如图所示结构的直杆AB、曲杆AC就是二力杆。

(a)(b)(c) 公理2:加减平衡力系公理。 在作用于刚体的任意力系上,加上或减去任意平衡力系,并不改变原力系对刚体的作用效应。 加减平衡力系公理也只适用于刚体,而不能用于变形体。 推论1:力的可传性。 作用于刚体的力可沿其作用线移动而不致改变其对刚体的运动效应(既不改变移动效应,也不改变转动效应),如图所示。 因此,对刚体来说,力作用的三要素为:大小,方向,作用线 注意:(1)不能将力沿其作用线从作用刚体移到另一刚体。 (2)力的可传性原理只适用于刚体,不适用于变形体。 例如,如图(a)所示之直杆 (a)拉伸 (b)压缩 在考虑物体变形时,力失不得离开其作用点,是固定矢量。 公理3:力的平行四边形法则。 作用于物体上同一点的两个力可合成一个合力,此合力也作用于该点,合力的大小和方向由以原两力矢为邻边所构成的平行四边形的对角线来表示,如图(a)所示。 F R=F1+F2 力的平行四边形法则可以简化为三角形法则,如图(b)所示,

四位二进制加法计数器课程设计

成绩评定表 学生姓名郝晓鹏班级学号1103060129 专业通信工程课程设计题目四位二进制加法 计数器 评语 组长签字: 成绩 日期20 年月日

课程设计任务书 学院信息科学与工程学院专业通信工程 学生姓名郝晓鹏班级学号1103060129 课程设计题目四位二进制加法计数(缺0010 0011 1101 1110) 实践教学要求与任务: 1、了解数字系统设计方法。 2、熟悉VHDL语言及其仿真环境、下载方法。 3、熟悉Multisim仿真环境。 4、设计实现四位二进制加计数(缺0010 0011 1101 1110) 工作计划与进度安排: 第一周:熟悉Multisim及QuartusII环境,练习数字系统设计方法。包括采用触发器设计和超高速硬件描述语言设计,体会自上而下、自下而上设计 方法的优缺点 第二周:1.在QuartusII环境中仿真实现四位二进制加计数(缺0100 0101 1001 1010 )。 2.在Multisim环境中仿真实现四位二进制加计数,缺(0100 0101 1001 1010),并通过虚拟仪器验证其正确性。 指导教师: 201 年月日专业负责人: 201 年月日 学院教学副院长: 201 年月日

摘要 本文采用在MAXPLUSⅡ环境中用VHDL语言实现四位二进制加法计数(缺0010 0011 1101 1110),在仿真器上显示结果波形,并下载到目标芯片上,在实验箱上观察输出结果。在Multisim环境中仿真实现四位二进制加法计数器(缺0010 0011 1101 1110),并通过虚拟仪器验证其正确性。 关键词:MAXPLUSⅡ环境;VHDL语言;四位二进制加计数;Multisim环境

最新4位数加法计算器

…………………………………………………………最新精品资料推荐…………………………………………………… 安庆师范大学 2014级单片机原理与应用 课程设计报告 课题名称4位数加法计算器的设计 姓名吴昊天、伍浩然、王鹏、万吉 学号070814018、070814008、070814005、070814001 院、系、部计算机学院物联网工程 专业物联网工程 指导教师汪文明 2016年 6月 6日

目录 一、绪言 (1) 二、系统设计 (1) 2.1设计任务 (1) 2.2方案比较与论证 (1) 2.2.1系统整体流程图 (2) 2.2.2单片机的选择方案论证 (2) 2.2.3键盘选择方案论证 (2) 2.2.4显示模块的选择方案论证 (2) 2.2.5蜂鸣器的选择方案论证 (2) 三、硬件电路设计 (2) 3.1计算器的控制电路图 (2) 3.2矩阵键盘的设计 (3) 3.3 LCD1602显示电路的设计 (3) 3.4蜂鸣器驱动电路的设计 (4) 3.5主要元器件选择 (4) 四、程序流程图 (5) 五、c语言程序设计 (5) 六、计算器的仿真 (19) 6.1 Keil调试 (19) 6.2 Proteus调试 (19) 七、结束语 (20) 八、参考文献 (21)

一、绪言 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月异更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。电子时钟是现代社会中的主要计时工具之一,广泛应用于手机,电脑,汽车等社会生活需要的各个方面,及对时间有要求的场合。本设计采用AT89C52单片机作为主要核心部件,附以上电复位电路,时钟电路及按键调时电路组成。数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。 二、系统设计 2.1 设计任务 1、通过4*4矩阵键盘输入数字及运算符; 2、可以进行4位十进制数以内的加法预算。如果计算结果超出四位数,则全部显示“E”; 3、可以进行加减乘除所有运算; 4、添加其他功能。 2.2 方案比较与论证 2.2.1 系统整体流程图 图1 系统整体流程图 2.2.2 计算器的控制方案论证 用4*4的矩阵键盘组成0-9数字键及加、减、乘、除、等于、清零按键,LCD屏幕实时显

第一章 框架结构布置及计算简图

第二部分 设计计算书 2设计计算书 2.1 框架结构布置及计算简图 2.1.1 梁、柱截面尺寸估算 (1) 梁截面估算 1)横向框架:因为梁的跨度最大为5.9m,取跨度为5.9m 进行计算。 取5900L mm =。11 ( ~)328~5901018 h L mm mm ==,取500h mm =, 11 (~)167~25023 b h mm mm ==,取250b mm =, 所以横向框架梁的截面尺寸为:250500b h mm mm ?=? 2)纵向框架:取6400L mm =, 11 (~)356~6401018h L mm mm ==,取500h mm =, 11 (~)167~25023 b h mm mm ==,取250b mm =, 所以纵向框架梁的截面尺寸为:250500b h mm mm ?=? 3)一级次梁: 取3900L mm =, 11 (~)217~3251218h L mm mm ==,考虑有二级次梁,故偏安全取400h mm =, 11 (~)133~20023 b h mm mm ==,取200b mm =, 所以次梁的截面尺寸为:200400b h mm mm ?=? 4)阳台挑梁:取2000L mm =, 1 3336 h L mm = =,取400h mm =, 11 (~)133~20023b h mm mm ==,取250b mm =, 所以次梁的截面尺寸为:250400b h mm mm ?=? (2) 柱截面尺寸估算 本工程为现浇钢筋混凝土结构,7度设防,高度52.50060h m m =<,抗震等级为框架抗震等级为三级,可得轴压比0.90μ=;剪力墙抗震等级为二级,可得轴压比0.20μ=,故。 按轴压比估算截面尺寸,根据经验取荷载为2 12/KN mm ,底层选择C35型混凝土。 底层:

4位数加法计算器

安庆师范大学2014级单片机原理与应用 课程设计报告 课题名称4位数加法计算器的设计姓名吴昊天、伍浩然、王鹏、万吉 学号070814018 070814008 070814005 070814001 院、系、部计算机学院物联网工程 专业物联网工程 指导教师汪文明 2016 年6月6日

一、设计任务及要求:设计任务: 1、通过4*4矩阵键盘输入数字及运算符; 2、可以进行4位十进制数以内的加法预算。如果计算结果超出四位数,则全部显示 “ E ; 3、可以进行加减乘除所有运算; 4、添加其他功能。 要求: 首先进行预设计,根据设计的任务要求,先确定设计的硬件电路方案,然后进行硬件电路的初步设计,在计算机上画出硬件电路图,在老师的指导下进行修正硬件电路图,并对所涉及的参数进行计算。 在确定硬件的基础上,要进行软件的总体设计,包括软件主流程的设计以及各子程序的设计,同时,要写出详细的操作说明,如时间的调整方法,显示窗口的时间切换等,以配合软件的设计。 然后进入硬件的调试及编程工作,设计组内的同学可根据任务分工,有调试硬件各功能模块的,如键盘子程序、显示子程序等,有进行整体程序的编制的,各模块的编制过程中要注意资源的衔接。 最后进入联机调试,联机调试的原则也要采用分步走的原则,各个功能模块要逐步套入,通过一个再增加一项功能,从而达到设计的总体要求,不要上来编制个最大的程序,最后无法查找错误。 最后写出设计报告。 指导教师签名: 2016年6月6日 、成绩 指导教师签名: 年月曰 一、绪言 (1)

二、系统设计 (1) 2.1设计任务 (1) 2.2方案比较与论证 (1) 2.2.1系统整体流程图 (2) 222单片机的选择方案论证 (2) 2.2.3键盘选择方案论证 (2) 2.2.4显示模块的选择方案论证 (2) 2.2.5蜂鸣器的选择方案论证 (2) 三、硬件电路设计 (2) 3.1计算器的控制电路图 (2) 3.2矩阵键盘的设计................................................ (3) 3.3 LCD1602显示电路的设计 (3) 3.4蜂鸣器驱动电路的设计 (4) 3.5主要元器件选择 (4) 四、程序流程图 (5) 五、c语言程序设计 (5) 六、计算器的仿真 (19) 6.1Keil 调试 (19) 6.2Proteus 调试 (19) 七、结束语 (20) 八、参考文献 (21) 一、绪言 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日

十进制4位加法计数器设计

洛阳理工学院 十 进 制 4 位 加 法 计 数 器 系别:电气工程与自动化系 姓名:李奇杰学号:B10041016

十进制4位加法计数器设计 设计要求: 设计一个十进制4位加法计数器设计 设计目的: 1.掌握EDA设计流程 2.熟练VHDL语法 3.理解层次化设计的内在含义和实现 设计原理 通过数电知识了解到十进制异步加法器的逻辑电路图如下 Q3 则可以通过对JK触发器以及与门的例化连接实现十进制异步加法器的设计 设计内容 JK JK触发器的VHDL文本描述实现: --JK触发器描述 library ieee; use ieee.std_logic_1164.all; entity jk_ff is

port( j,k,clk: in std_logic; q,qn:out std_logic ); end jk_ff; architecture one of jk_ff is signal q_s: std_logic; begin process(j,k,clk) begin if clk'event and clk='0' then if j='0' and k='0' then q_s <= q_s; elsif j='0' and k='1' then q_s <= '0'; elsif j='1' and k='0' then q_s <= '1'; elsif j='1' and k='1' then q_s <= not q_s; end if; end if; end process; q <= q_s; qn <= not q_s; end one; 元件门级电路: 与门VHDL文本描述实现: --与门描述library ieee; use ieee.std_logic_1164.all;

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

EDA实验报告

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

框架结构计算

1.恒荷载作用下内力计算 1.1梯形(三角形)、均布恒荷载作用下简支梁支座剪力和跨中弯矩 (kN) (kN-m) 式中g 1—梁上均布荷载值(kN/m); g 2—梁上梯形(三角形)分布荷载值(kN/m)。 各梁内力计算结果如表1.1 表1.1 恒荷载作用下框架梁按简支计算的梁端剪力和跨中弯矩 g 1g 2V A0V B0l M AB0 g 1g 2V B0r M BC06 3.4015.5241.6341.6375.30 2.709.959.597.291~517.5512.64 78.25 78.25127.84 2.70 8.10 8.44 6.33 AB 梁 l =6m a =0.325 层次 BC 梁 l =2.5m a =0.5 1.2恒荷载作用下框架弯矩计算 梯形(三角形)恒荷载化作等效均布荷载 g =g 1+(1-2a 2+a 3)g 2 (kN/m ) 梁端固端弯矩 (kN-m ) 梁固端弯矩计算结果如表1.2 表1.2 框架梁恒荷载作用下固端弯矩计算表 g 1g 2g M g 1g 2g M M m 6 3.40 15.5216.1748.52 2.709.958.92 4.65-2.641~5 17.5512.64 27.95 83.86 2.708.107.76 4.04 -2.29 AB 梁 l =6m a =0.325 BC 梁 l =2.5m a =0.5层次 框架结构利用弯矩二次分配法的计算过程和结果见图1.1。 1.3恒荷载作用下框架剪力计算 梁: (AB 梁); 柱: 式中:V —计算截面剪力(kN ); V 0—梁计算截面在简支条件下剪力(kN ); M l 、M r —分别为AB 梁左右两端弯矩值(kN-m )。 M t 、M b —分别为计算截面所在柱的上下两端弯矩值(kN-m )。

4位数计算器的设计

摘要 0 1 总体任务 (1) 1.1设计目的 (1) 1.2设计内容和要求 (1) 1.3 设计思路 (1) 2 设计原理分析 (2) 2.1 计算器的工作原理 (2) 2.1.1 计算器的工作流程 (2) 2.1.2 编程实现控制 (2) 2.1.3 功能拓展 (2) 2.2 单片机计算器的基本构成及原理 (2) 3硬件电路的设计 (4) 3.1系统硬件总电路构成及原理 (4) 3.2硬件电路的部分组成元器件的简介 (4) 3.2.1AT89C51单片机 (4) 3.2.2 八段LED数码管 (4) 3.2.3 矩阵键盘 (6) 3.3 元器件清单 (7) 3.4 电路原理图 (7) 4 系统程序的简单设计 (8) 4.1 程序方框图 (8) 4.2 计算器程序 (8) 5 系统的仿真调试 (15) 6 课程设计总结 (16) 参考文献 (17)

本文论述了单片机4位数加法计算器的设计,从对设计任务的分析到画电原理图到编程和最后的仿真调试,都进行了一个简单的描述。 在设计过程中,采用Keil软件编写源程序,用Protues 7 professional的ISIS 7 professional软件画电原理图并且仿真,通过对编程时的编译和对仿真结果现象的观察,不断地对源程序以及电原理图的修改,最后成功设计出了一个能够进行4位数加法运算的计算器。该计算器通过4×4的矩阵键盘输入数字及运算符,若运算结果超过4位十进制数,则显示为E;另外,也能进行减法、乘法以及除法的运算,在进行减法运算时,若运算结果小于零,则显示其绝对值;进行除法运算时,若除数等于零,则显示E。 关键词:计算器;单片机;数码管;矩阵键盘

四位二进制加法计数器

学院信息学院专业通信工程姓名陈洁学号02 设计题目数字系统课程设计 内容四位二进制加法计数器 技术参数和要求0000→0001→0010→0011→0110→0111→1000→1001→1010→1011→1100→→1101→1110→1111→0000 缺0100→0101 设计任务 1.按要求设计VHDL程序, 2.在Xinlinx Ise环境中运行程序并输出仿真波形。 工作进度和安排第18周: 1.学习Xinlinx Ise软件知识,熟悉软件相关操作; 2.学习multsim软件知识,熟悉其在画逻辑电路时的应用; 3.查阅相关资料,学习时序逻辑电路设计知识。 第20周: 1.按要求编写程序代码,; 2.运行并输出仿真波形; 3.程序下载到电路板测试; 4.利用multsim软件,设计时序电路; 5.运行并验证结果; 6.撰写报告。 指导教师(签字): 年月日学院院长(签字): 年月日

目录 一.数字系统简介 (3) 二.设计目的和要求 (3) 三.设计内容 (3) 四.VHDL程序设计 (3) 五.波形仿真 (11) 六. 逻辑电路设计 (12) 六.设计体会 (13) 七.参考文献 (13)

一.数字系统简介 在数字逻辑设计领域,迫切需要一种共同的工业标准来统一对数字逻辑电路及系统的描述,这样就能把系统的设计分解为逻辑设计(前端),电路实现(后端)和验证桑相互独立而又相关的部分。由于逻辑设计的相对独立性就可以把专家们设计的各种数字逻辑电路和组件建成宏单元或软件核,即ip库共设计者引用,设计者可以利用它们的模型设计电路并验证其他电路。VHDL这种工业标准的产生顺应了历史潮流。 二.设计目的和要求 1、通过《数字系统课程设计》的课程实验使电子类专业的学生能深入了解集成中规 模芯片的使用方法。 2、培养学生的实际动手能力,并使之初步具有分析,解决工程实际问题的能力。三.设计内容 四位二进制加计数,时序图如下: 0000→0001→0010→0011→0110→0111→1000→1001→1010→1011→1100→→1101→1110→1111 →0000 缺0100→0101 。由JK触发器组成4位异步二进制加法计数器。 四.VHDL程序设计 四位二进制加计数,缺0100,0101(sw向上是0(on);灯亮为0) LIBRARY IEEE; USE entity count10 is PORT (cp,r:IN STD_LOGIC; q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); end count10; ARCHITECTURE Behavioral OF count10 IS SIGNAL count:STD_LOGIC_VECTOR(3 DOWNTO 0) ; BEGIN PROCESS (cp,r) BEGIN if r='0' then count<="0000"; elsiF cp'EVENT AND cp='1' THEN if count="0011" THEN count <="0110"; ELSE count <= count +1; END IF; end if; END PROCESS; q<= count; end Behavioral;

4位数加法计算器

安庆师范大学 2014级单片机原理与应用 课程设计报告 课题名称4位数加法计算器的设计 姓名吴昊天、伍浩然、王鹏、万吉 学号070814018、070814008、070814005、070814001 院、系、部计算机学院物联网工程 专业物联网工程 指导教师汪文明 2016年 6月 6日

一、设计任务及要求: 设计任务: 1、通过4*4矩阵键盘输入数字及运算符; 2、可以进行4位十进制数以内的加法预算。如果计算结果超出四位数,则全部显示“E”; 3、可以进行加减乘除所有运算; 4、添加其他功能。 要求: 首先进行预设计,根据设计的任务要求,先确定设计的硬件电路方案,然后进行硬件电路的初步设计,在计算机上画出硬件电路图,在老师的指导下进行修正硬件电路图,并对所涉及的参数进行计算。 在确定硬件的基础上,要进行软件的总体设计,包括软件主流程的设计以及各子程序的设计,同时,要写出详细的操作说明,如时间的调整方法,显示窗口的时间切换等,以配合软件的设计。 然后进入硬件的调试及编程工作,设计组内的同学可根据任务分工,有调试硬件各功能模块的,如键盘子程序、显示子程序等,有进行整体程序的编制的,各模块的编制过程中要注意资源的衔接。 最后进入联机调试,联机调试的原则也要采用分步走的原则,各个功能模块要逐步套入,通过一个再增加一项功能,从而达到设计的总体要求,不要上来编制个最大的程序,最后无法查找错误。 最后写出设计报告。 指导教师签名: 2016年 6 月 6 日 三、成绩 指导教师签名: 年月日

目录 一、绪言 (1) 二、系统设计 (1) 2.1设计任务 (1) 2.2方案比较与论证 (1) 2.2.1系统整体流程图 (2) 2.2.2单片机的选择方案论证 (2) 2.2.3键盘选择方案论证 (2) 2.2.4显示模块的选择方案论证 (2) 2.2.5蜂鸣器的选择方案论证 (2) 三、硬件电路设计 (2) 3.1计算器的控制电路图 (2) 3.2矩阵键盘的设计 (3) 3.3 LCD1602显示电路的设计 (3) 3.4蜂鸣器驱动电路的设计 (4) 3.5主要元器件选择 (4) 四、程序流程图 (5) 五、c语言程序设计 (5) 六、计算器的仿真 (19) 6.1 Keil调试 (19) 6.2 Proteus调试 (19) 七、结束语 (20) 八、参考文献 (21)

加法计算器

十进制加法计算器设计报告 目录 1、摘要----------------------------------------------------------------------2 2、设计任务和要求--------------------------------------------------------2 3、单片机简要原理--------------------------------------------------------2 3.1 AT89C51的介绍------------------------------------------------3 3.2 单片机最小系统------------------------------------------------6 3.3 七段共阳极数码管---------------------------------------------7 4、硬件设计-----------------------------------------------------------------7 4.1 键盘电路的设计-------------------------------------------------8 4.2 显示电路的设计-----------------------------------------------9 5、软件设计------------------------------------------------------------10 5.1 系统设计------------------------------------------------------10 5.2 显示与按键设计---------------------------------------------12 6、系统调试.-------------------------------------------------------------13 6.1系统初始状态的调试------------------------------------------13 6.2键盘输入功能的调试-----------------------------------------14 6.3系统运算功能的调试------------------------------------------16 7、心得体会与总结---------------------------------------------------------16 参考文献---------------------------------------------------------------------17 附录1 系统硬件电路图--------------------------------------------------18 附录2 程序清单-----------------------------------------------------------19 -----------

框架设计例题

多层框架设计实例 某四层框架结构,建筑平面图、剖面图如图1所示,试采用钢筋混凝土全现浇框架结构设计。 1.设计资料 (1)设计标高:室内设计标高±0.000相当于绝对标高4.400m,室内外高差600mm。 (2)墙身做法:墙身为普通机制砖填充墙,M5水泥砂浆砌筑。内粉刷为混合砂浆底,纸筋灰面,厚20mm,“803”内墙涂料两度。外粉刷为1:3水泥砂浆底,厚20mm,马赛克贴面。 (3)楼面做法:顶层为20mm厚水泥砂浆找平,5mm厚1:2水泥砂浆加“107”胶水着色粉面层;底层为15mm厚纸筋面石灰抹底,涂料两度。 (4)屋面做法:现浇楼板上铺膨胀珍珠岩保温层(檐口处厚100mm,2%自两侧檐口向中间找坡),1:2水泥砂浆找平层厚20mm,二毡三油防水层。 (5)门窗做法:门厅处为铝合金门窗,其它均为木门,钢窗。 (6)地质资料:属Ⅲ类建筑场地,余略。 (7)基本风压:(地面粗糙度属B类)。

(8)活荷载:屋面活荷载,办公楼楼面活荷载,走廊 楼面活荷载。 图1 某多层框架平面图、剖面图 2.钢筋混凝土框架设计 (1)结构平面布置如图2所示,各梁柱截面尺寸确定如下。 图2 结构平面布置图 边跨(AB、CD)梁:取 中跨(BC)梁:取 边柱(A轴、D轴)连系梁:取 中柱(B轴、C轴)连系梁:取 柱截面均为

现浇楼板厚100mm。 结构计算简图如图3所示。根据地质资料,确定基础顶面离室外地面为500mm,由此求得底层层高为4.3m。各梁柱构件的线刚度经计算后列于图3。其中在求梁截 面惯性矩时考虑到现浇楼板的作用,取(为不考虑楼板翼缘作用的梁截 面惯性矩)。 边跨(AB、CD)梁: (其他梁、柱的线刚度计算同上,略) 图 3 结构计算简图 (图中数字为线刚度) (2)荷载计算 1)恒载计算 ①屋面框架梁线荷载标准值: 20mm厚水泥砂浆找平 100厚~140厚(2%找坡)膨胀珍珠岩

1静力学基本知识与结构计算简图

教案 专业:道路桥梁工程技术 课程:工程力学 教师:刘进朝 学期:2010-2011-1 教案首页 授课日期: 2010年 9 月 22 日授课班级:10211-10216

教学内容: 课题1 静力学基本知识与结构计算简图一、静力学基本概念

1.力的概念 ※定义:力是物体间相互的机械作用,这种作用使物体的运动状态发生改变和变形状态发生改变。 ※力的三要素:大小,方向,作用点 集中力:例汽车通过轮胎作用在桥面上的力。 2.力系的概念 定义——指作用在物体上的一群力。 根据力系中各力作用线的分布情况可将力系分为平面力系和空间力系两大类。 若两个力系分别作用于同一物体上时,其效应完全相同,则称这两个力系为等效力系。 用一个简单的等效力系(或一个力)代替一个复杂力系的过程称为力系的简化。 力系的简化是工程静力学的基本问题之一。 3.刚体的概念:指在力的作用下,大小和形状都不变的物体。 4.平衡的概念 平衡——指物体相对于惯性参考系保持静止或作匀速直线运动的状态。 二、静力学基本公理 公理1:二力平衡公理。 作用于刚体上的两个力,使刚体平衡的必要与充分条件是:这两个力大小相等,方向相反,作用线共线,作用于同一个物体上(如图所示)。 (a)(b) 注意:①对刚体来说,上面的条件是充要的②对变形体来说,上面的条件只是必要条件 例如,如图所示之绳索 二力构件(二力杆):在两个力的作用下保持平衡的构件。 例如,如图所示结构的直杆AB、曲杆AC就是二力杆。

(a)(b)(c) 公理2:加减平衡力系公理。 在作用于刚体的任意力系上,加上或减去任意平衡力系,并不改变原力系对刚体的作用效应。 加减平衡力系公理也只适用于刚体,而不能用于变形体。 推论1:力的可传性。 作用于刚体的力可沿其作用线移动而不致改变其对刚体的运动效应(既不改变移动效应,也不改变转动效应),如图所示。 因此,对刚体来说,力作用的三要素为:大小,方向,作用线 注意:(1)不能将力沿其作用线从作用刚体移到另一刚体。 (2)力的可传性原理只适用于刚体,不适用于变形体。 例如,如图(a)所示之直杆 (a)拉伸 (b)压缩 在考虑物体变形时,力失不得离开其作用点,是固定矢量。 公理3:力的平行四边形法则。 作用于物体上同一点的两个力可合成一个合力,此合力也作用于该点,合力的大小和方向由以原两力矢为邻边所构成的平行四边形的对角线来表示,如图(a)所示。 F R=F1+F2 力的平行四边形法则可以简化为三角形法则,如图(b)所示,

4位计算器实训

四川师范大学成都学院 4位数加法计算器的设计—电子线路实现训练 学生姓名 学号 所在系通信系 专业名称通信工程 班级2009级通信工程2班 指导教师刘强、段纯爽 四川师范大学成都学院 二○一一年六月

目录 一、设计任务 (1) 二、方案与论证 (1) (一)控制器的选择 (1) (二)显示器的选择 (2) 三、系统硬件设计 (3) (一)系统总框图 (3) (二)原理图设计 (3) 四、系统软件设计 (5) 五、总结与展望 (5) 六、参考文献 (6) 附录一:系统总电路 (7) 附录二:系统功能测试 (8) 四则运算如图: (8) 时钟显示如图:(创新部分) (8) 附录三:系统PCB图 (9) 附录四:源代码 (10)

电子线路实现训练 4位数加法计算器的设计 —电子线路实现训练 一、设计任务 ?系统通过4×4的矩阵键盘输入数字及运算符。 ?可以进行4位十进制数以内的加法运算,如果计算结果超过4位十进制数,则屏幕 显示E ?可以进行加法以外的计算(乘、除、减)。 ?其他功能(时钟显示) ?画出完整的电路原理图(包含电源部分)和PCB板图。 二、方案与论证 (一)控制器的选择 控制器主要用于各模块控制对显示、计算等。控制器的选择有以下三种方案。 方案1:8位AT89S51 AT89S51是ATMEL公司生产,该单片机算术运算功能强,软件编程灵活、自由度大,可以用软件编程实现各种算法和逻辑控制,并且由于其功耗低、体积小、技术成熟和成本低等优点,使其在各个领域应用广泛。 方案2:采用FPGA(现场可编程门列阵)作为系统的控制器。 FPGA采用并行的输入输出方式,提高了系统的处理速度,适合作为大规模实时系统的控制核心。但由于本设计对数据处理的速度要求不高,FPGA的高速处理的优势得不到充分体现,并且由于其集成度高,使其成本偏高,同时由于芯片的引脚较多,实物硬件电路板布线复杂,加重了电路设计和实际焊接的工作。 方案3: 32位LM3S615 LM3S615采用为小型嵌入式应用方案而优化的32位ARM?CortexTM-M3 v7M结构,可兼容Thumb?的Thumb-2专用指令集处理器内核,可提高代码密度,50-MHz操作。但其成本太高,故在本系统中不宜采用。 综上述:控制器方案1。

4位同步二进制加法计数器

4位同步二进制加法计数器 一、实验目的 1、熟悉在EDA平台上进行数字电路集成设计的整个流程。 2、掌握Max+PlusⅡ软件环境下简单的图形、VHDL文本等输入设计方法。 3、熟悉VHDL设计实体的基本结构、语言要素、设计流程等。 4、掌握利用Max+PlusⅡ的波形仿真工具验证设计的过程。 5、学习使用JTAG接口下载逻辑电路到可编程芯片,并能调试到芯片正常工作为止。 二、实验设备 1.软件 操作系统:Windows 2000 EDA软件:MAX+plus II 10.2 2.硬件 EDA实验箱:革新EDAPRO/240H 三、实验原理 1.设计分析 4位同步二进制加法计数器的工作原理是指当时钟信号clk的上升沿到来时,且复位信号clr低电平有效时,就把计数器的状态清0。 在clr复位信号无效(即此时高电平有效)的前提下,当clk的上升沿到来时,如果计数器原态是15,计数器回到0态,否则计数器的状态将加1. 2.VHDL源程序 library ieee; use ieee.std_logic_1164.all; entity cnt4e is port(clk,clr:in std_logic; cout:out std_logic; q:buffer integer range 0 to 15); end cnt4e; architecture one of cnt4e is begin process(clk,clr) begin if clk'event and clk='1'then if clr='1'then if q=15 then q<=0; cout<='0'; elsif q=14 then q<=q+1; cout<='1'; else q<=q+1; end if; else q<=0;