Altium Designer中进行信号完整性分析

Altium Designer中进行信号完整性分析
Altium Designer中进行信号完整性分析

在高速数字系统中,由于脉冲上升/下降时间通常在10到几百p秒,当受到诸如内连、传输时延和电源噪声等因素的影响,从而造成脉冲信号失真的现象;

在自然界中,存在着各种各样频率的微波和电磁干扰源,可能由于很小的差异导致高速系统设计的失败;在电子产品向高密和高速电路设计方向发展的今天,解决一系列信号完整性的问题,成为当前每一个电子设计者所必须面对的问题。业界通常会采用在PCB制板前期,通过信号完整性分析工具尽可能将设计风险降到最低,从而也大大促进了EDA设计工具的发展……

信号完整性(Signal Integrity,简称SI)问题是指高速数字电路中,脉冲形状畸变而引发的信号失真问题,通常由传输线阻抗不匹配产生的问题。而影响阻抗匹配的因素包括信号源的架构、输出阻抗(output impedance)、走线的特性阻抗、负载端的特性、走线的拓朴(topology)架构等。

解决的方式可以采用端接(termination)与调整走线拓朴的策略。

信号完整性问题通常不是由某个单一因素导致的,而是板级设计中多种因素共同作用的结果。

信号完整性问题主要表现形式包括信号反射、信号振铃、地弹、串扰等;

1,Altium Designer信号完整性分析(机理、模型、功能)

在Altium Designer设计环境下,您既可以在原理图又可以在PCB编辑器内实现信号完整性分析,并且能以波形的方式在图形界面下给出反射和串扰的分析结果。

Altium Designer的信号完整性分析采用IC器件的IBIS模型,通过对版图内信号线路的阻抗计算,得到信号响应和失真等仿真数据来检查设计信号的可靠性。Altium Designer的信号完整性分析工具可以支持包括差分对信号在内的高速电路信号完整性分析功能。

Altium Designer仿真参数通过一个简单直观的对话框进行配置,通过使用集成的波形观察仪,实现图形显示仿真结果,而且波形观察仪可以同时显示多个仿真数据图像。并且可以直接在标绘的波形上进行测量,输出结果数据还可供进一步分析之用。

Altium Designer提供的集成器件库包含了大量的的器件IBIS模型,用户可以对器件添加器件的IBIS模型,也可以从外部导入与器件相关联的IBIS模型,选择从器件厂商那里得到的IBIS 模型。

Altium Designer的SI功能包含了布线前(即原理图设计阶段)及布线后(PCB版图设计阶段)两部分SI分析功能;采用成熟的传输线计算方法,以及I/O缓冲宏模型进行仿真。基于快速反射和串扰模型,信号完整性分析器使用完全可靠的算法,从而能够产生出准确的仿真结果。布线前的阻抗特征计算和信号反射的信号完整性分析,用户可以在原理图环境下运行SI仿真功能,对电路潜在的信号完整性问题进行分析,如阻抗不匹配等因素。

更全面的信号完整性分析是在布线后PCB版图上完成的,它不仅能对传输线阻抗、信号反射和信号间串扰等多种设计中存在的信号完整性问题以图形的方式进行分析,而且还能利用规则检查发现信号完整性问题,同时,Altium Designer还提供一些有效的终端选项,来帮助您选择最好的解决方案。

2,分析设置需求

在PCB编辑环境下进行信号完整性分析。

?为了得到精确的结果,在运行信号完整性分析之前需要完成以下步骤:

?1、电路中需要至少一块集成电路,因为集成电路的管脚可以作为激励源输出到被分析的网络上。

像电阻、电容、电感等被动元件,如果没有源的驱动,是无法给出仿真结果的。

?2、针对每个元件的信号完整性模型必须正确。

?3、在规则中必须设定电源网络和地网络,具体操作见本文。

?4、设定激励源。

5、用于PCB的层堆栈必须设置正确,电源平面必须连续,分割电源平面将无法得到正确分析

结果,另外,要正确设置所有层的厚度。

3,操作流程

a .布线前(即原理图设计阶段)SI分析概述

用户如需对项目原理图设计进行SI仿真分析,Altium Designer要求必须建立一个工程项目名称。在原理图SI分析中,系统将采用在SI Setup Option对话框设置的传输线平均线长和特征阻抗值;仿真器也将直接采用规则设置中信号完整性规则约束,如激励源和供电网络等,同时,允许用户直接在原理图编辑环境下放置PCB Layout图标,直接对原理图内网络定义规则约束。

当建立了必要的仿真模型后,在原理图编辑环境的菜单中选择Tools -> Signal Integrity命令,运行仿真。

b .布线后(即PCB版图设计阶段)SI分析概述

用户如需对项目PCB版图设计进行SI仿真分析,Altium Designer要求必须在项目工程中建立相关的原理图设计。此时,当用户在任何一个原理图文档下运行SI分析功能将与PCB版图设计下允许SI分析功能得到相同的结果。

当建立了必要的仿真模型后,在PCB编辑环境的菜单中选择Tools -> Signal Integrity命令,运行仿真。

4,操作实例:

1)在Altium Designer的Protel设计环境下,选择File\Open Project,选择安装目录下

\Examples\Reference Design\4 Port Serial Interface\4 Port Serial Interface.Prjpcb,进入PCB 编辑环境,如下图1.

图1 在PCB 文件中进行SI分析

选择Design/Layer Stack Manager…,配置好相应的层后,选择Impedance Calculation…,配置板材的相应参数如下图2所示,本例中为缺省值。

图2 配置板材的相应参数

选择Design/Rules选项,在Signal Integrity一栏设置相应的参数,如下图3所示。首先设置Signal Stimulus(信号激励),右键点击Signal Stimulus,选择New rule,在新出现的Signal Stimulus界面下设置相应的参数,本例为缺省值。

图3 设置信号激励*

接下来设置电源和地网络,右键点击Supply Net,选择New Rule,在新出现的Supplynets界面下,将GND网络的Voltage设置为0如图4 所示,按相同方法再添加Rule,将VCC 网络的Voltage设置为5。其余的参数按实际需要进行设置。最后点击OK推出。

图4设置电源和地网络*

选择Tools\Signa l Integrity…,在弹出的窗口中(图5)选择Model Assignments…,就会进入模型配置的界面(图6)。

图 5

图 6

在图6 所示的模型配置界面下,能够看到每个器件所对应的信号完整性模型,并且每个器件都

有相应的状态与之对应,关于这些状态的解释见图7:

图7

?修改器件模型的步骤如下:*

?双击需要修改模型的器件(U1)的Status部分,弹出相应的窗口如图8

?在Type选项中选择器件的类型

?在Technology选项中选择相应的驱动类型

?也可以从外部导入与器件相关联的IBIS模型,点击Import IBIS,选择从器件厂商那里得到的IBIS 模型即可

?模型设置完成后选择OK,退出

图8

2)在图6所示的窗口,选择左下角的Update Models in Schematic,将修改后的模型更新到原

理图中。

3)在图6所示的窗口,选择右下角的Analyze Design…,在弹出的窗口中(图10)保留缺省值,然后点击Analyze Design选项,系统开始进行分析。

4)图11为分析后的网络状态窗口,通过此窗口中左侧部分可以看到网络是否通过了相应的规则,如过冲幅度等,通过右侧的设置,可以以图形的方式显示过冲和串扰结果。

选择左侧其中一个网络TXB,右键点击,在下拉菜单中选择Details…,在弹出的如图12所示的窗口中可以看到针对此网络分析的详细信息。

图10

图11

图12

5)下面以图形的方式进行反射分析,双击需要分析的网络TXB,将其导入到窗口的右侧如图13所示。

图13

*选择窗13口右下角的Reflections…,反射分析的波形结果将会显示出来如图14

图14

右键点击TXB_U1.13_NoTerm,如图15在弹出的列表中选择Cursor A和Cursor B,然后可以利用它们来测量确切的参数。测量结果在Sim Data窗口如图16所示。

图15

图16

6)返回到图11所示的界面下,窗口右侧给出了几种端接的策略来减小反射所带来的影响,选择Serial Res如图18所示,将最小值和最大值分别设置为25和125,选中Perform Sweep选项,在Sweep steps选项中填入10,然后,选择Reflections…,将会得到如图19所示的分析波形。选择一个满足需求的波形,能够看到此波形所对应的阻值如图17,最后根据此阻值选择一个比较合适的电阻串接在PCB中相应的网络上即可。*

图17

图18

图19

7)接下来进行串扰分析,重新返回到如图11所示的界面下,双击网络RTSB将其导入到右面的窗口,然后右键单击TXB,在弹出菜单中选择Set Aggressor设置干扰源,如图20所示,结果如图21。

图20

图21

然后,选择图20 右下角的Crosstalk…,就会得到串扰得分析波形,如图22所示。

图22串扰波形

Altiumdesigner仿真具体步骤

Altium designer 仿真具体步骤 1.创建工程 1)在工具栏选择File ? New ? Project ? PCB Project ,创建一个PCB工程并保存。2)在工具栏选择File ? New ? Schematic ,创建一个原理图文件并保存。 2. 例图 3. 编辑原理图 ①、放置有仿真模型的元件 根据上面的电路,我们需要用到元器件“LF411C”点击左边“Library ”标签,使用search 功能查找LF411CN找到LF411CN之后,点击“ Place LF411CN”,放置元件,若提示元件库未安装,需要安装,则点击“ yes”,如图2 : 在仿真元件之前,我们可以按“TAB键打开元件属性对话框,在“ Designator ”处填入 U1;接着查看LF411CN的仿真模型:在左下角Models列表选中Simulation,再点击“Edit ”,可查看模型的一些信息,如图 3 。 从上图可以看出,仿真模型的路径设置正确且库成功安装。点击“ Model File ”标签,可查看模型文件(若找不到模型文件,这里会有错误信息提示),如图4。 图4 点击“ Netlist Template ”标签,可以查看网表模板,如图 5 。

Altium designer 仿真具体步骤图5

至此,可以放置此元件 ②、为元件添加SIM Model文件 用于电路仿真的Spice模型(.ckt和.mdl文件)位于Library文件夹的集成库中,我们使用时要注意这些文件的后缀。模型名称是模型连接到SIM模型文件的重要因素,所以要确 保模型名称设置正确。查找Altium 集成库中的模型文件步骤如下:点击Library 面板的Search 按钮,在提示框中填入:HasModel('SIM','*',False) 进行搜索;若想更具体些可填入:HasModel('SIM','*LF411*',False) 。 若我们不想让元件使用集成库中提供的仿真模型,而想用别的模型代替,我们最好将别的模型文件复制到我们的目标文件夹中。 如果我们想要用的仿真模型在别的集成库中,我们可以: 1) 点击File ? Open ,打开包含仿真模型的库文件(.intlib) 。 2) 在输出文件夹(打开集成库时生成的文件夹)中找到仿真文件,将其复制到我们自己的工程文件夹中,之后我们可以进行一些修改。 复制好模型文件,再为元器件添加仿真模型。为了操作方便,我们直接到安装目录下的“Examples'CircuitSimulation'Filter ”文件夹中,复制模型文件“ LF411C.ckt” 到自己的工程文件夹中,接下来的步骤: 1) 在Project 面板中,右击工程,选择“ Add Existing to Project ”,将模型文件添加到本工程中。 2) 双击元件U1,打开元件属性对话框,在Model列表中选择Simulation,点击Remove按钮,删除原来的仿真模型。

如何将altiumdesigner的原理图和PCB转入cence里

如何将a l t i u m d e s i g n e r 的原理图和P C B转入 c e n c e里 标准化管理部编码-[99968T-6889628-J68568-1689N]

说明: 1)本教程适用于将altiumdesigner的原理图和PCB转入cadence(分别对应captureCIS和allegro)里。对于protel99se,可以将其先导入较新版本的AD 里,再转入cadence中。 2)整个过程中使用的软件包括altiumdesignerSummer08,cadence16.6,orCAD10.3-capture(免安装精简版),PADS9.3三合一完美精简版。其中,后面两个软件较小,便于下载。 3)原理图的转化路线是,从altiumdesigner导出的.dsn文件,用orcad10.3-capture打开后,保存为cadence16.6可以打开的文件。因为较新版本的cadence不能直接打开AD转换出来的.dsn文件。如果你不是这些版本的软件,也可以参考本人的方法进行尝试。 4)pcb转化的顺序是,altiumdesigner导出的文件,导入PADS9.3打开,然后导出.asc文件。随后利用allegro对pads的接口,将pads文件导入。 1.原理图的导入 1.1选中原理图的项目文件,即.PRJPCB文件,右键-》saveprojecas,选 择.dsn文件,输入要保存的文件名,保存。注意输入新的文件名的时候要把文件名的后缀手动改掉。 1.2打开orCAD10.3-capture文件夹下面的capture.exe(如果同一台电脑装了新版本的cadence,例如cadence16.6的话,环境变量中的用户变量会有冲突。具体地来说对于orCAD10.3来说,CDS_LIC_FILE的值必须是安装目录 \orCAD10.3-capture\crack\license.dat。而对于cadence16.6来说,环境变量必须是5280@localhost。因此要使用orCAD10.3的话,必须将CDS_LIC_FILE 的值改掉,否则无法打开。等下使用cadence16.6,就必须将值改回来)。 1.3使用orCAD10.3将刚才保存的.dsn文件打开,并保存成project。 1.4随后就可以使用新版本的cadence的captureCIS打开保存的文件(注意改环境变量中的用户变量CDS_LIC_FILE)。 2.PCB的导入 由于allegro可以根据已有的brd文件生成元器件的封装,因此将PCB导入allegro后使用者免于重新使用allegro绘制一遍封装。 1.1打开pads9.3,file-》new,按照默认配置建立一个文件,保存。 1.2f ile-》import,选中要转换的.pcb文件,打开,保存在C盘的 PADSProjects文件夹下面。(安装PADS9.3三合一完美精简版时会自动在C 盘产生这个文件夹。) 1.3f ile-》export,将文件保存为.asc文件。接下来回弹出下图所示的对话 框。注意要将.pcb文件和.asc文件保存在同一个目录下,即C盘的 PADSProjects文件夹下面,否则allegro转换时会出现pads_in.log找不到的现象。(关于AD的pcb文件导入pads,网上还有一种方法是AD保存为PCB二进制文件,即.PcbDoc文件,再由pads导入.PcbDoc文件。用户可以自行尝试。总之,ad转换成pads似乎较为顺畅) 1.4格式选择PowerPCBV5.0,勾选认为比较的项目。点击“OK”。

AltiumDesigner设计报错问题总结

AltiumDesigner设计报错问题总结 在编译原理图时,引脚和连线旁边出现很多红线,提示error: signalwithnodriver。 原理图没有加入到Project里。 第一次导入没问题,但是改了个元件的封装,在更新一下(Design—UpdateSCH),点击导入时出现UnkownPin。。。 解决方案一: 把第一张PCB删掉,新建一个PCB再倒入。 解决方案二: 把改过的元件在PCBxx删除,再倒入。 以上问题本应该是没问题的,但是可能是我们使用的盗版软件的原因。 用altiumdesigner画完图编译后,出现几百警告,几乎的所有的都是Offgridpin画的图在项目中去编译,的不能编译,如果文件不在项目中的话,就会出现你说的不在网络的提示。 你的元件没有在原理图上真正形成电气上的连接。 你的元件库没有被软件别。没有你建一个项目文件,把你的原理图放在里去做编译,这样就不会出错了。 是因为你原理图中的元件引脚尺寸和你设置的栅格尺寸不对应,导致系统无法识别而报错,引脚长度尺寸必需设置成栅格尺寸的整数倍!!!你把你做的原理图元件重新再画一遍,再编译,问题解决!!! 双面板应该都有哪些Layer? TopLayer顶层铜皮,双面板必须要BottomLayer底层铜皮,双面板必须要TopOverLayer顶层丝印,一般需要,也有节约成本不做的。

BottomOverLayer底层丝印,一般不需要,底层放原件的话,也可以加。 Top/BottomSoldermask顶层底层阻焊层,就是“绿油”,一般需要,也有节约成本不做的。 Mechinica机械层,板边以及板内开槽,1无金属化,4有金属化。 Keepout禁止布线区域,不自动布线的话可以不要。 然而中国的现实是用Keepout做板框成了行规,你要正规地给他们机械层往往还不会做了。 Top/BottomPastemask顶层底层钢板层,如果要批量焊接SMD器件的板子,需要定做钢板,这两层不在PCB上,是生产需要的工装.Multilayer多层,在所有层上都存在的东西,比如直插器件的焊盘,这层一般是必须的,不要试图关闭它。 在用Altiumdesign进行规则检测的时候出现Un-RoutedNetConstraint错误这是什么意思啊怎么解决Un-RoutedNetConstraint: 该规则用于检测网络布线的完成状态。网络布线的完成状态定义为(已经完成布线的连线)/(连线的总数)×100%。即检查没有布线的网络。 设计规则“Electrical”——电气规则类。 “Routing”——布线规则类。 “SMT”——SMT元件规则类。 “Mask”——阻焊膜规则类。 “Plane”——内部电源层规则类。 “Testpoint”——测试点规则类。 “Manufacturing”——制造规则类。 “HighSpeed”——高速电路规则类。“Placement”——布局规则类。

AltiumDesigner使用教程

A l t i u m D e s i g n e r使 用教程 -CAL-FENGHAI.-(YICAI)-Company One1

设计并生成PCB 根据WEBENCH生成的电源原理图,就可以在AltiumDesigner中画出设计电路的原理图和PCB图。 1.Ultra Librarian的安装和使用 1)在TI官网下载并安装Ultral Librarian并安装,下载地址:。 2)在TI官网找到要使用的芯片,在“符号和封装”项目下点击下载CAD文件(后缀 为.bxl),如下图所示: 3)打开Ultral Librarian软件,首先点击“Load Data”装载刚刚下载.bxl文件,在选择“Se lect Tools”中的“Altium Designer”,最后点击“Export to Selected Tools”。如下图所示:

4)随后会生成一个.txt文档,如下图所示。其中红色方框表示生成的PCB库和原理图所 在位置。 5)打开红色方框中的路径,里面有一个“”,用AltiumDesigner打开,如下图所示。

6)双击图中的1,在点击图中的2,会出现以下界面: 7)选择生成Ultral Librarian生成的文件夹中的“.txt”文件,然后点击“Start Import”那么就生 成了所需芯片的封装和原理图的库,只要在原件库中安装即可使用。如下图所示: 注意:这里生成的PCB库和原理图库首次打开可能会没有,解决的方法是先关闭然后再打开就可以了。

2.设计电路原理图 1)打开AD软件,依次选择:文件->新建(new)->工程(project)->PCB工程,在建立 工程之后一定要保存工程。如下图所示: 2)在新建的PCB项目下创建原理图项目(Schematic)。 3)在库中选择相应的原件,拖入原理图,如下图所示:

AltiumDesigner的同一个工程中有多原理图多PCB工程处理模板

同一个工程,有不止一块的PCB,原理图已经分开设计了,但在导入到PCB时就只能全部导入到同一个PCB文件,莫非只能把不同的PCB与对应的原理图分开到另一工程才能解决?相信这也困扰了不少坛友。 近日在网上找到了解决方法,现整理发上来,让更多的坛友知道这一技巧。 问题: 在用Altium Designer进行PCB工程设计时,有时一个工程里可能不止一块PCB,比如,一个设备里有主板和扩展板或者按键板等等 这时就需要在一个工程里添加多个PCB文件。如图: -」Source Documents 3 Sheetl.SchDoc _J' SheetZ SchDoc PCBI.PcbDoc______________ 亠’ PCB2.PcbDoc 曹 我们知道,在Altium Designer中将原理图导入到PCB是经过在原理图菜单Design->Update PCB Document xxx.PcbDoc,如图: T A_d .< ?' . l_j 訂轻:、ScflCao IP s ■ P £?3I:I gfk Repis Wi n.d?ir Help Itpditt FCI FCBILFCIh亡 FCJ FCB2. onrse Li bi ar y.. Add/Rsjwiove Li br ary B 孔Schematic Library I- orr y*?比■?尸寸□百" 4^ 1■

1■

资料内容仅供您学习参考,如有不当或者侵权,请联系改正或者删除。 在进行这样的操作之后,虽然我们选的是某一个PCB文件,可是最后结果是,所有原理图都被导入到了这个选中的PCB文件中,无法实现不同的原理图导入到不同的PCB。 在Altium Designer中,我们能够用Altium Designer设计同步装置把 设计资料从一个区域转到另一个区域,它包括比较工具、ECO以及UPDATER。它能够用于原理图和PCB之间的转换,Altium Desig ner中导入网络表不再是必须的。 1)在原理图环境操作菜单中的Project->Show Differences,显示Choose Documents to Compare对话框: 2)在Choose Documents to Compare 对话框中,按Advaneed Mode

AltiumDesigner自学电子笔记

第一天 Altium Designer概述 a. (1)电子开发辅助软件的发展; (2)软件安装及破解; (3)软件开发环境; (4)软件功能; (5)preference setting(优先项) b. (1)help文档knowledge center和shortcut keys; (2)基本的窗口操作(移动、合并、split vertical垂直分割、open in new window);(3)reference designs and exampals; (4)home page;

第二天 电子设计基础知识 a. (1)PCB(Printed Circuit Board)印制电路板设计流程:双面覆铜板下料叠板 数控钻导通孔 检验、去毛刺涮洗 化学镀(导通孔金属化,全板电镀覆铜) 检验涮洗 网印负性电路图形、固化(干膜或湿膜曝光,显影)检验、修版 线路图形电镀 电镀锡(抗腐蚀镍/金) 去印料(感光膜) 刻蚀铜 (退锡) 清洁刷洗 网印阻焊图形(常用热固化绿油) 清洁、干燥 网印标记字符图形、固化 (喷锡) 外形加工 清洗、干燥 电气通断检测 检验包装 成品出厂; (2)EDA设计基本流程: 原理图设计 网络报表的生成 印制板的设计; (3)印制板总体设计的基本流程: 原理图设计 原理图仿真 网络报表的生成 印制板的设计 信完整性分析 文件储存及打印; (4)原理图的一般设计流程: 启动原理图编辑器 设置原理图图纸 设置工作环境

装载元件库 放置元件并布局 原理图布线 原理图的电气检查 网络报表及其他报表的生成 文件储存及打印; (5)PCB设计的一般流程: 启动印制板编辑器 设置工作环境 添加网络报表 设置PCB设计规则 放置原件并布局 印制电路板布线 设计规则检查 各种报表的生成 文件储存及打印; (6)基本概念: 层(Layer):印制电路板的各铜箔层; 过孔(Via):为连通各层之间的线路的公共孔; 埋孔(Buriedvias):中间一层到表面,不穿透整个板子; 盲孔(Blindvias):只连接中间几层的PCB,在表面无法识别其位置; 丝印层(Overlay):标志图案代号和文字; 网格填充区(External Plane):网状铜箔; 填充区(Fill Plane):完整保留铜箔; SMD封装:表面焊装器件; 焊盘(Pad); 膜(Mask):元件面助焊膜,元件面阻焊膜; (7)印制板的基本设计准则 抗干扰设计原则 热设计原则 抗振设计原则 可测试型设计原则 b. (1)抗干扰设计原则 1.电源线的设计:(1)选择合适的电源;(2)尽量加宽电源线;(3)保证电源线、底线走线与数据传输方向一致;(4)使用抗干扰元器件(磁珠、磁环、屏蔽罩、电源滤波器);(5)电源入口添加去耦电容 2.地线的设计:(1)模拟地与数字地分开;(2)尽量采用单点接地;(3)尽量加宽地线;(4)将敏感电路连接到稳定的接地参考源;(5)对PCB板进行分区设计,把高宽带的噪声电路与低频电路分开;(6)尽量减少接地环路的面积 3.元器件的配置:(1)不要有过长的平行信号线;(2)保证PCB的时钟发生器、晶振和CPU的时钟输入端尽量靠近,同时远离其他低频器件;(3)元器件应围绕核心器件进行配置,尽量减少引线长度;(4)对PCB板按频率和开关特性进行分区布局,保证噪声元器件和非噪声元器件的距离;(5)考虑PCB板在机箱中位置和方向(放出热量高的

(完整)AltiumDesigner总结,推荐文档

Protel ----Altium Designer 经过对Protel的学习,让我了解到了protel在电子方面的诸多优点。使我认识到了学习这门课程给我们带来的各种好处。虽然在学习时遇到了不少的困难,但在老师和同学的帮助下,种种困难都迎刃而解,让我更加的体会到了学习的乐趣。Protel软件在电路板设计方面给用户带来了许多的方便之处,是一项精密的技术软件。他对设计者的知识要求也很高,是上层知识分子工作的得力助手。尤其是对设计者的英语水平要求较高,因为在操作环境中,它是全英的,若英语水平太低,则无法熟练地操作好它。也就是说要学好Protel,还需要较好的英语基础! 以下为Altium Designer系统的简介: ●多工程 控制模块包括3个要素:电路板和包含了组合逻辑电路、处理器以及面向处理器的嵌入式应用程序的FPGA设计。 在Altium Designer中,用户所创造的每一个设计都是一个工程。每一类工程将由它所执行的功能来决定。在创建过程中,用户可以把它们存储在同一个设计工作空间中,以便能同时看到是所有的设计文档以及同时对不同的工程进行操作。 工程设计的所有文档必须保存在版本控制系统中。Altium Designer使这个过程变得很容易。控制面板上不仅显示了各个文档名,还包括了这些文档的状态。同时,用户也可以使用物理差异检测工具来寻找不同版本的PCB设计差异。 ●绘制原理图 Altium Designer提供了方框图的绘制以及工程层次间的连接。顶层的原理图看起来就跟用户自己画的方框图一样,每个框图都用一个指向独立原理图或者一组原理图的“图表符”来表示。信号通过连接线或总线在图纸符号中传输,然后通过图表符间的连线传到其他子图纸的端口。画出顶层原理图后,用户可以为每个图表符设计完整的功能电路。同步功能使用户能够很容易地保持设计层次的完整性和准确性。 ●元件库 Altium的库研发中心提供了超过60 000种元器件,而且允许将集成元件库链接到外部元件控制系统中,使设计者能够准确、直接地监督元件库的更新

altiumdesigner原理图元器件库详细说明

Altium Designer原理图元器件库详细说明 altium desinger 原理图元器件库详细说明 包括电阻、电容、二极管、三极管和PCB的连接器符号 包括虚拟仪器和有源器件 包括二极管和整流桥 包括LCD、LED 包括三极管 包括场效应管 包括模拟元器件 VALVES .LIB 包括电子管 包括电源调节器、运放和数据采样IC 包括电容 包括 4000系列 包括ECL10000系列 包括通用微处理器 包括运算放大器 包括电阻 FAIRCHLD .LIB 包括FAIRCHLD 半导体公司的分立器件 包括 LINTEC公司的运算放大器 包括国家半导体公司的数字采样器件 包括国家半导体公司的运算放大器 包括TECOOR公司的 SCR 和TRIAC 包括德州仪器公司的运算放大器和比较器ZETEX .LIB 包括ZETEX 公司的分立器件也许部分因版本回有所不同,这是 PROTEUS 的版本。 如何删除左边元件列表中的元件 点edit 中的Tidy可以删去所有你没用到的零件,但如果想只删其中指定的零件,似乎Proteus没有这个功能。 在器件箱中删除任意元件的方法: 1.先在图纸中右键删除你在器件箱中指定的元件。 2.选中编辑(Edit)--整理选项(Tidy)--确定。 3.整理选项(Tidy)可以删除图纸上没有物理连接和在图纸工作区域以外的所有元件。 教你如何自己做模版 点击此处下载(文件大小:628K) 怎样可以看见电路中的电流流动

菜单\System\Set Animation Options\Show Wire Current with Arrows 后面打勾 怎样看高低电平 在元件脚上有一个正方形的小点,红色为高电平,蓝色为低电平 元件库元件名称及中英对照 AND 与门 ANTENNA 天线 BATTERY 直流电源 BELL 铃,钟 BVC 同轴电缆接插件 BRIDEG 1 整流桥(二极管) BRIDEG 2 整流桥(集成块) BUFFER 缓冲器 BUZZER 蜂鸣器 CAP 电容 CAPACITOR 电容 CAPACITOR POL 有极性电容 CAPVAR 可调电容 CIRCUIT BREAKER 熔断丝 COAX 同轴电缆 CON 插口 CRYSTAL 晶体整荡器 DB 并行插口 DIODE 二极管 DIODE SCHOTTKY 稳压二极管 DIODE VARACTOR 变容二极管 DPY_3-SEG 3段LED DPY_7-SEG 7段LED DPY_7-SEG_DP 7段LED(带小数点) ELECTRO 电解电容 FUSE 熔断器 INDUCTOR 电感 INDUCTOR IRON 带铁芯电感 INDUCTOR3 可调电感 JFET N N沟道场效应管 JFET P P沟道场效应管 LAMP 灯泡 LAMP NEDN 起辉器 LED 发光二极管 METER 仪表 MICROPHONE 麦克风 MOSFET MOS管

Altiumdesigner规则检查常出的问题汇总

A l t i u m d e s i g n e r规则 检查常出的问题汇总 SANY标准化小组 #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

Altium designer 规则检查常出的问题汇总 1.Rule Violations Count 违反数 2.Short-Circuit Constraint (Allowed=No) (All),(All) 短路约束=不允许)(全部),(全部) 3.Un-Routed Net Constraint ( (All) ) 26 Un-Routed净约束(所有)26岁 4.Clearance Constraint (Gap=9mil) (All),(All) 间隙约束(间隙= 9 mil)(全部),(全部) 5.Power Plane Connect Rule(Relief Connect )(Expansion=20mil) (Conductor Width=10mil) (Air Gap=10mil) (Entries=4) (All) 功率平面连接规则(救济连接)(扩展= 20 mil)(导体宽= 10 mil)(气隙= 10 mil)(条目= 4)(全部)0 6.Width Constraint (Min=8mil) (Max=20mil) (Preferred=15mil) (All) 宽度约束(Min = 8 mil)( Max= 20 mil)(优先15例mil)(全部) 问题应该出在你设置和实际的冲突,你的Protel所设置的最小线宽是25mil,最大线宽也是25mil,默认线宽还是25mil,这本没错,但可能是你的某根GND线不是25mil,或者你用了覆铜,而覆铜的线条(Track Width)也不是25mil,所以才出错!建议在Design 的Rule里设置一下Width Constraint的最大和最小线宽,调整到合适范围,就不会报错了。 7.Height Constraint (Min=0mil) (Max=1000mil) (Prefered=500mil) (All) 高度约束(Min = 0 mil)( Max = 1000 mil)(优先= 500 mil)(全部) 8.Hole Size Constraint (Min=1mil) (Max=150mil) (All) 孔尺寸约束(Min = 1 mil)( Max = 150 mil)(全部) 修改尺寸,设计孔大于你设置的规则的值 9.Hole To Hole Clearance (Gap=6mil) (All),(All) 洞孔间隙(间隙= 6 mil)(全部),(全部) 引脚安全间距问题,一般是封装的问题,如果确定封装没问题,这个错误基本你可以忽略。10.Minimum Solder Mask Sliver (Gap=1mil) (All),(All) 最低焊接面罩银(间隙= 1 mil)(全部),(全部) 你的某个元件的焊盘间距大于1mil,你可以选择该规则或者把封装中的焊盘间距改大一点。11.Silkscreen Over Component Pads (Clearance=1mil) (All),(All) 丝网印刷在组件垫(许可= 1 mil)(全部),(全部) 顶层丝印与元件焊盘距离近(小于1mil) 按D、R将规则中的Silkscreen Over Component Pads 改小一些就可以了 12.Silk to Silk (Clearance=1mil) (All),(All) 丝印丝印(间隙= 1 mil)(全部),(全部) 两个丝印之间的距离太近,这个错误可以忽略 13.Net Antennae (Tolerance=0mil) (All) 网络天线(耐受= 0 mil)(全部) 14.Clearance Constraint (Gap=6mil) (InComponent(U1)),(All) 间隙约束(间隙= 6 mil)(InComponent(U1)),(所有)

完整AltiumDesigner总结推荐文档

Protel -- Altium Designer 经过对Protel 的学习,让我了解到了protel 在电子方面的诸多优点。使我认识到了学习这门课程给我们带来的各种好处。虽然在学习时遇到了不少的困难,但在老师和同学的帮助下,种种困难都迎刃而解,让我更加的体会到了学习的乐趣。Protel 软件在电路板设计方面给用户带来了许多的方便之处,是一项精密的技术软件。他对设计者的知识要求也很高,是上层知识分子工作的得力助手。尤其是对设计者的英语水平要求较高,因为在操作环境中,它是全英的,若英语水平太低,则无法熟练地操作好它。也就是说要学好Protel ,还需要较好的英语基础! 以下为Altium Designer 系统的简介: 多工程 控制模块包括3 个要素:电路板和包含了组合逻辑电路、处理器以及面向处理器的嵌入式应用程序的FPGAS计。 在Altium Designer 中,用户所创造的每一个设计都是一个工程。每一类工程将由它所执行的功能来决定。在创建过程中,用户可以把它们存储在同一个设计工作空间中,以便能同时看到是所有的设计文档以及同时对不同的工程进行操作。 工程设计的所有文档必须保存在版本控制系统中。Altium Designer 使这个过程变得很容易。控制面板上不仅显示了各个文档名,还包括了这些文档的状态。同时,用户也可以使用物理差异检测工具来寻找不同版本的PCB设计差异。 绘制原理图 Altium Designer 提供了方框图的绘制以及工程层次间的连接。顶层的原理图看起来就跟用户自己画的方框图一样,每个框图都用一个指向独立原理图或者一组原理图的“图表符”来表示。信号通过连接线或总线在图纸符号中传输,然后通过图表符间的连线传到其他子图纸的端口。画出顶层原理图后,用户可以为每个图表符设计完整的功能电路。同步功能使用户能够很容易地保持设计层次的完整性和准确性。 元件库 Altium 的库研发中心提供了超过60 000种元器件,而且允许将集成元件库链接到外部元件控制系统中,使设计者能够准确、直接地监督元件库的更新 和设计文档的改变 网络连线 Altium Designer 提供了网络标识符,该功能使用户可以用简洁明了的方法来连接

AltiumDesigner使用教程

设计并生成PCB 根据WEBENCH生成的电源原理图,就可以在AltiumDesigner中画出设计电路的原理图和PCB图。 1.Ultra Librarian的安装和使用 1)在TI官网下载并安装Ultral Librarian 2)在TI官网找到要使用的芯片,在“符号和封装”项目下点击下载CAD文件(后缀为.bxl), 如下图所示: 3)打开Ultral Librarian软件,首先点击“Load Data”装载刚刚下载.bxl文件,在选择“Select Tools”中的“Altium Designer”,最后点击“Export to Selected Tools”。如下图所示: 4)随后会生成一个.txt文档,如下图所示。其中红色方框表示生成的PCB库和原理图所在 位置。 5)打开红色方框中的路径,里面有一个“UL_import.PrjSrc”,用AltiumDesigner打开,如 下图所示。 6)双击图中的1,在点击图中的2,会出现以下界面: 7)选择生成Ultral Librarian生成的文件夹中的“.txt”文件,然后点击“Start Import”那么就生 成了所需芯片的封装和原理图的库,只要在原件库中安装即可使用。如下图所示: 注意:这里生成的PCB库和原理图库首次打开可能会没有,解决的方法是先关闭然后再打开就可以了。 2.设计电路原理图 1)打开AD软件,依次选择:文件->新建(new)->工程(project)->PCB工程,在建立工 程之后一定要保存工程。如下图所示: 2)在新建的PCB项目下创建原理图项目(Schematic)。 3)在库中选择相应的原件,拖入原理图,如下图所示: 4)利用工具条中的放置线、电源、地等工具连接电路原件,完成的原理图如下图所示: 5)最后保存生成的原理图。 3.设计PCB图 1)为原理图中所有的原件选择封装。双击原件,在Footprint选项中就可以选择封装,并 保存,如下图所示: 2)对选择封装之后的电路图进行电气检查,图下图所示: 3)电气检查没有错误之后,为工程添加PCB项目并保存,如下图所示: 4)右键项目,点击“Compile PCB Project PCB PCB_Project1.prjPCB”,如下图所示: 5)打开之前建立的PCB项目,点击“设计”选项中的“Update Schematics in PCB_Project1.PrjPCB”。如下图所示:

AltiumDesignerRules规则详解

Altium Designer Rules规则详解 2011-09-18 08:10:58| 分类:我爱☆DIY|举报|字号订阅 对于PCB的设计, AD提供了详尽的10种不同的设计规则,这些设计规则则包括导线放置、导线布线方法、元件放置、布线规则、元件移动和信号完整性等规则。根据这些规则, Protel DXP进行自动布局和自动布线。很大程度上,布线是否成功和布线的质量的高低取决于设计规则的合理性,也依赖于用户的设计经验。 对于具体的电路可以采用不同的设计规则,如果是设计双面板,很多规则可以采用系统默认值,系统默认值就是对双面板进行布线的设置。 本章将对Protel DXP的布线规则进行讲解。 6.1 设计规则设置 进入设计规则设置对话框的方法是在PCB电路板编辑环境下,从Protel DXP 的主菜单中执行菜单命令Desin g/Rules ……,系统将弹出如图6-1所示的PCB Rules and Constraints Editor(PCB设计规则和约束 ) 对话框。 图6-1 PCB设计规则和约束对话框 该对话框左侧显示的是设计规则的类型,共分10类。左边列出的是Desing Rules( 设计规则 ) ,其中包括Electrical (电气类型)、 Routing (布线类型)、 SMT (表面粘着元件类型)规则等等,右边则显示对应设计规则的设置属性。

该对话框左下角有按钮Priorities ,单击该按钮,可以对同时存在的多个设计规则设置优先权的大小。 对这些设计规则的基本操作有:新建规则、删除规则、导出和导入规则等。可以在左边任一类规则上右击鼠标,将会弹出如6-2所示的菜单。 在该设计规则菜单中, New Rule是新建规则; Delete Rule是删除规则;Export Rules是将规则导出,将以 .rul为后缀名导出到文件中; Import Rules 是从文件中导入规则;Report ……选项,将当前规则以报告文件的方式给出。图6 — 2设计规则菜单 下面,将分别介绍各类设计规则的设置和使用方法。 6.2 电气设计规则 Electrical (电气设计)规则是设置电路板在布线时必须遵守,包括安全距离、短路允许等4个小方面设置。 1 . Clearance (安全距离)选项区域设置 安全距离设置的是PCB 电路板在布置铜膜导线时,元件焊盘和焊盘之间、焊盘和导线之间、导线和导线之间的最小的距离。 下面以新建一个安全规则为例,简单介绍安全距离的设置方法。 ( 1 )在Clearance上右击鼠标,从弹出的快捷菜单中选择New Rule ……选项,如图6-3所示。

AltiumDesigner教程

快捷键: 快速复制放置元件:按住Shift键并拖动要放置的元件 Q:尺寸单位转换 J+C:查找元件 V+F:显示全屏元件 V+Z:显示上次比例 Ctrl+A:全选 Ctrl+C:复制 Ctrl+V:粘贴 Shift+s:单层显示 Shift+空格:改变走线模式 L:层面设置 G/shift+G/ctrl+shift+G:栅格设置 封装集成库的建立 新建集成库工程File→New→Project→Integrated Library 在集成库工程下新建原理图封装和PCB封装可在File →New→Library中新建,也可鼠标右键点击集成库名添加库文件 绘制需要的原理图封装和PCB封装原理图封装不需要太多尺寸要求,可通过编辑→Jump设置原点在器件中心或任意位置,PCB封装则需要根据实物尺寸绘制,可通过Edit(编辑)→Set Reference(设置参考点)将原点设置在元件中心、Pin1或任意位置(一般将原点设置在PCB封装中心或管脚1上,否则导入PCB图后布局拖动元件时光标可能会跑到离元件很远的地方)。点击Tool →New comment(新元件)可开始下一个元件的绘制。在界面右下方单击Sch →Sch Library/PCB→PCB Library可调出相对的库面板,原理图封装更改元件名字可通过Tools →Rename Comment修改,也可双击元件名称,在弹出的属性框Symbol Reference一栏中修改。PCB封装通过双击封装名字修改。注:单击右下方System→supplier Search(供应商查找),输入元件名称,显示的元件信息可拖动到原理图封装界面的空白处,从而显示在元件属性框内。 确定原理图封装和PCB封装的链接关系在原理图封装界面右下方点击Show Model展开箭头。点击Add Footprint→Browse,在PCB封装库里选择对应的PCB封装(可选择多个),点击OK、OK,就可形成链接关系。 编译点击左下方Project切换到Project,File→Save All,填写各文件名称和要保存的位置,右键点击集成库名称,点击“Compile Intergrated Library ×××” PC B工程的建立 新建PCB工程File→New→Project→PCB Project,右键单击,保存工程。 2.1原理图的绘制 ①新建原理图文件File→New→Schematics(原理图),或右键单击工程名为工程添加新文件,选择原理图。右击文件名保存 ②设置图纸参数Design→Document Options(文档选项),切换到Sheet Options选项卡进行设置,还可直接双击纸张外空白处进入Sheet Options选项卡进行设置 ③调入元件单击界面右侧Library…(库…)可在里面查找放置元件。 注:Edit→Align(对齐)可使选中的元件对齐 注释元件编号:Tools→Annotate Schematics(注释)在弹窗中设置好注释顺序及开始注释的序

关于AltiumDesigner使用的经验小总结

关于AltiumDesigner使用的经验小总结 1、原理图绘制完毕后,编译 2、编译无误后,导入pcb 3、PCB布局,布线,列些快捷方式 G+G 栅格设置的快捷方式,布局时可以设置适当大,视个人使用习惯 为方便放置器件,design-board options 菜单中,component grid可以适当设置大,视个人使用习惯

P+T,place track 画线 鼠标左边选中器件后,L ,layer更换 多层绘制,P+L,place line 放线,无电气属性,作为平面分割的边界 4、绘制完毕,调线 适当设置栅格点,按栅格的倍数作为间距调线。 5、绘制DDR等需要设置等长的电路板,分以下几种情况 a)FPGA+DDR*1 且无匹配电阻使用nets即可查看线的长度;使用T+R调单根信号线的长度,T+I调差分线的长度 b)FPGA+DDR*1+匹配电阻使用from to editor 选择需要设置的信号网标,点击某一此网标的焊盘,按住ctrl,选中另一焊盘,点击add from to,设置完成

特别说明:因为绘制电路图的时候,不管是T+R还是T+I可以看到的线长都是整体线长,所以绘制的时候还需列表计算。 c)FPGA+DDR*2(或者更多) 设置类似b)中所述,麻烦之处也类似,需要多备份文件,删除其中某些只留一个一一绘制。 6、绘制完毕后DRC检查。软件默认报数500

当报错数量>500,report是报不出来的,需要把不必要的检查项disable,并适当把数值加大,不然可能真的有致命的问题存在哦。

7、原理图、PCB绘制都要记住一个必要的检查项single net 至此,总结完毕。

AltiumDesigner绘制电路原理图

AltiumDesigner绘制电路原理图 图3- 72用公共导线连接总线入口 图3- 73总线进入的四种状态 图3- 74总线入口属性设置 网络号的放置:网络号的放置对于总线系统是必要的。没有网络号的总线没有实际的电气意义。两端连接到总线的设备数量相同的引脚将具有电气连接。因为总线系统通常用来表示芯片的地址总线和数据总线,所以连接到总线的导线通常称为AD0~AD8等。当放置第一个网络标签时,按[Tab]键将网络名称更改为AD0,则以后放置的网络名称的标签将自动增加。下一节将详细解释网络标签的放置和设置。 3.3.5公共汽车入口处的公共电线连接放置网络标签 网络标签的应用在上一节的总线放置过程中已经提到。事实上,网络标签的应用远非如此。网络标签是一种无线线路,具有相同网络标签的电气节点以电气关系连接在一起。无论它们之间是否存在实际的导线连接,对于复杂的电路设计来说,用导线将各种具有电连接的节点连接起来是不容易的,这常常使得电路难以读取,而网络标签正好可以解决这个问题。执行[广场]菜单中的[网络标签]命令或单击工具栏上的 按按钮输入网络徽标。 号码放置状态。此时,鼠标将变成一个白色的“x”形光标,并附有网络标签。如果网络标签中有数字,网络标签中的数字会在每次放置时自动增加。将光标移动到导线上,当光标抓住导线时,它将变成与网

络标签相同的“x”形。此时,单击鼠标左键以成功放置网络标签。同时,导线的网络名称也将被重命名为网络标签名称。在Altium Designer的电路设计中,每个实际的电气连接都属于一个网络,并有一个网络名称。当鼠标在线路上停留一段时间后,系统会自动提示该线路所属的网络名称,如图3-76的左图所示。网络:网络C3_1意味着网络连接到电容器C3的第一个引脚。当放置名为AD1的网络标签时,网络的网络名称变为AD1。 图3- 75网络标签的放置 图3- 76线网名称的变化 图3- 77网络标签放置前放置网络标签后的网络标签属性设置 网络标签最重要的属性是它所属网络的网络名称。按[选项卡]键或双击放置的网络标签,弹出网络标签属性设置对话框,如图3-77所示。您可以在[网络]文本框中填写网络标签的名称,或者下拉文本框以选择现有网络标签的名称,使其属于同一网络。此外,还可以设置网络标签的颜色、位置、旋转角度和字体,这与前面提到的电线和组件的属性设置一致,因此不再详细描述。3.3.6放置电源和接地 Altium Designer提供特殊的电源和接地符号,统称为电源端口。电源和接地实际上是特殊的网络符号,只提供一种视觉表现。电源和接地符号的网络名称可以更改并连接到任何网络。在[位置]菜单中选择[电源端口]命令,或单击工具栏上的 或者 按钮

如何将altiumdesigner的原理图和PCB转入cadence里

说明: 1)本教程适用于将altiumdesigner的原理图和PCB转入cadence(分别对应captureCIS和allegro)里。对于protel99se,可以将其先导入较新版本的AD里,再转入cadence中。 2)整个过程中使用的软件包括altiumdesignerSummer08,cadence16.6,orCAD10.3-capture(免安装精简版),PADS9.3三合一完美精简版。其中,后面两个软件较小,便于下载。 3)原理图的转化路线是,从altiumdesigner导出的.dsn文件,用orcad10.3-capture打开后,保存为cadence16.6可以打开的文件。因为较新版本的cadence不能直接打开AD转换出来的.dsn文件。如果你不是这些版本的软件,也可以参考本人的方法进行尝试。 4)pcb转化的顺序是,altiumdesigner导出的文件,导入PADS9.3打开,然后导出.asc文件。随后利用allegro对pads的接口,将pads文件导入。 1.原理图的导入 1.1选中原理图的项目文件,即.PRJPCB文件,右键-》saveprojecas,选择.dsn文件,输入要保存的文件名,保存。注意输入新的文件名的时候要把文件名的后缀手动改掉。 1.2打开orCAD10.3-capture文件夹下面的capture.exe(如果同一台电脑装了新版本的cadence,例如cadence16.6的话,环境变量中的用户变量会有冲突。具体地来说对于orCAD10.3来说,CDS_LIC_FILE的值必须是安装目录\orCAD10.3-capture\crack\license.dat。而对于cadence16.6来说,环境变量必须是5280@localhost。因此要使用orCAD10.3的话,必须将CDS_LIC_FILE的值改掉,否则无法打开。等下使用cadence16.6,就必须将值改回来)。 1.3使用orCAD10.3将刚才保存的.dsn文件打开,并保存成project。 1.4随后就可以使用新版本的cadence的captureCIS打开保存的文件(注意改环境变量中的用户变量CDS_LIC_FILE)。 2.PCB的导入 由于allegro可以根据已有的brd文件生成元器件的封装,因此将PCB导入allegro后使用者免于重新使用allegro绘制一遍封装。 1.1打开pads9.3,file-》new,按照默认配置建立一个文件,保存。 1.2file-》import,选中要转换的.pcb文件,打开,保存在C盘的PADSProjects文件夹下面。 (安装PADS9.3三合一完美精简版时会自动在C盘产生这个文件夹。) 1.3file-》export,将文件保存为.asc文件。接下来回弹出下图所示的对话框。注意要将.pcb 文件和.asc文件保存在同一个目录下,即C盘的PADSProjects文件夹下面,否则allegro 转换时会出现pads_in.log找不到的现象。(关于AD的pcb文件导入pads,网上还有一种方法是AD保存为PCB二进制文件,即.PcbDoc文件,再由pads导入.PcbDoc文件。用户可以自行尝试。总之,ad转换成pads似乎较为顺畅) 1.4格式选择PowerPCBV5.0,勾选认为比较的项目。点击“OK”。 1.5随后使用allegro的import-》translator,选择pads,弹出如下的对话框。 其中第一行是指定.asc文件,第三行是指定要转换成的.brd文件。一般而言都是C盘的PADSProjects文件夹内部。第二行只要指定目录,然后在对话框里点保存即可。点击translate,即可完成转换。随后file-》open,打开刚才建立的.brd文件。

相关文档
最新文档