EDA课程设计 彩灯控制器

EDA课程设计 彩灯控制器
EDA课程设计 彩灯控制器

电子设计自动化大作业

题目彩灯控制器的设计

学院**学院

班级电气**

学号**********

姓名********

二O一二年十月三十一日

彩灯控制器的设计

一、彩灯控制器的设计要求

设计能让一排彩灯(8只)自动改变显示花样的控制系统,发光二极管可作为彩灯用。控制器应有两种控制方式:

(1)规则变化。变化节拍有0.5秒和0.x秒两种,交替出现,每种节拍可有4种花样,各执行一或二个周期后轮换。

(2)随机变化。无规律任意变化。

二、彩灯控制器的设计原理

本次彩灯控制器的设计包含几个主要模块,一是彩灯显示和扬声器的时序控制部分,二是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;三是扬声器的控制部分。流程图如下所示:

图 1 彩灯控制器的设计流程图

彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较

简易。分频的方法有很多种,本次设计之采用了其中较简易的一种,通过计数器的分频,将控制器外接的频率分为几个我们预先设定的值。当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。扬声器通过不同的频率控制发出不同的声音。同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在1~4 之间为宜)。通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为 AA、BB、CC,并随着发光二极管同步动态显示。AA 为自左向右显示,BB 为自右向左显示,CC 从二边向中间再由中间向二边发散显示。与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。

三、程序设计和分析

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

建立设计库和标准程序包

实体部分: entity pan is

port(clk:in std_logic;

clr:in std_logic;

speak:out std_logic;

led7s1:out std_logic_vector(6 downto 0);

led7s2:out std_logic_vector(7 downto 0);

led_selout:out std_logic_vector(7 downto 0);

end entity;

实体名为 pan,定义端口,输入端口为 clk 和 clr ,其中 clk 接脉冲信号,clr 接复位端;输出端口 speak 接扬声器,led7s1 接数码管的七段显示部分,led7s 接八个发光二极管,led_selout 接八个数码管的使能端,控制数码管的循环显示。

结构体部分: architecture one of pan is

signal s: std_logic_vector(4 downto 0);

signal clk1:std_logic; signal clk2:std_logic;

signal clk3:std_logic;

定义 4 个信号,cq,cllk1,clk2,clk3.

process(clk)

variable a:std_logic_vector(5 downto 0);

begin

if clr='1' then

clk1<='0';

else

if clk'event and clk='1'then

if a="110010"then

a:="000000";

else a:=a+1;

clk1<='0';

end if;

end if;

end if;

end process;

以上程序为第一次分频,为 50 分频,当 clk 发生变化,使 a 从 000000 变化到 110010 时产生一个上升沿 clk1。如果 a 没有变化到 110010 则 a 继续自加,直到 110010 为止产生下一个上升沿。

process(clk)

variable b:std_logic_vector(4 downto 0);

begin

if clr='1' then

clk2<='0'; -----复位信号

else

if clk'event and clk='1'then

if b="11001"then

b:="00000"; clk2<='1';

else

b:=b+1;

nd if;

end if;

end if;

end process;

以上程序为对 clk 进行 25 分频,原理同上,产生信号clk2。

process(clk)

variable c:std_logic_vector(2 downto 0);

begin

if clr='1' then

clk3<='0'; ----复位信号

else

if clk'event and clk='1'then

if c="111"then

c:="000";

clk3<='1';

else c:=c+1;

clk3<='0';

end if;

end if;

end if;

end process;

以上程序为对 clk 进行 8 分频,产生一个新的时钟脉冲信号clk3。

数码管及二极管循环显示时序控制程序:

process(clk2)

variable z:integer range 0 to 20;

begin

if clr='1' then

s<="00000"; -------复位信号

else

if clk'event and clk='1'then

z:=z+1;

if z=20then s<=s+1;s:=0;

if s="10111" then s<="00000";

else s<=s+1;

end if;

end if;

end if;

end if;

end process;

该段程序的目的是对 clk2 再进行一次分频,当 clk2 发生变

化,并且变化为上升沿时,z 就加 1,直到加到为 20 时,s 就发生变化,加 1,每当 s 发生变化,加到 30 时,s 就加 1。当 s 从00000 变化到 10111 时,就对 s 清零,从而实现数码显示管和发光二极管的循环显示,以达到显示速度的要求。

发光二极管及数码管显示程序:

process(s)

begin

case s is

when"00000"=>led7s1<="0001000";led7s2<="01111111";speak<=cl k1;led_selout<="11000000"; when

"00001"=>led7s1<="0001000";led7s2<="10111111";speak<=clk1;l ed_selout<="01100000"; when

"00010"=>led7s1<="0001000";led7s2<="11011111";speak<=clk1;l ed_selout<="00110000"; when

"00011"=>led7s1<="0001000";led7s2<="11101111";speak<=clk1;l ed_selout<="00011000"; when

"00100"=>led7s1<="0001000";led7s2<="11110111";speak<=clk1;l ed_selout<="00001100"; when

"00101"=>led7s1<="0001000";led7s2<="11111011";speak<=clk1;l ed_selout<="00000110"; when

"00110"=>led7s1<="0001000";led7s2<="11111101";speak<=clk1;l ed_selout<="00000011"; when

"00111"=>led7s1<="0001000";led7s2<="11111110";speak<=clk1;l ed_selout<="10000001"; 此段程序就是当敏感信号 s 发生变化,对数码管进行置位和置型,0001000 也就是对相应的数码管使之显示花型为 A,通过对数码管使能端的置位,控制显示的数码管显示为 AA ,并且发光二极管最右边显示为亮。当 S 每变化一次,数码管向左移动一格,发光二极管也同步向左移动,每次只亮一个灯。当 s 变化到 00111 之后执行下一段程序,同时扬声器发出声音。

when

"01000"=>led7s1<="0000011";led7s2<="11111110";speak<=clk2;l ed_selout<="00000011"; when

"01001"=>led7s1<="0000011";led7s2<="11111101";speak<=clk2;l ed_selout<="00000110"; when

"01010"=>led7s1<="0000011";led7s2<="11111011";speak<=clk2;l ed_selout<="00001100"; when

"01011"=>led7s1<="0000011";led7s2<="11110111";speak<=clk2;l ed_selout<="00011000"; when

"01100"=>led7s1<="0000011";led7s2<="11101111";speak<=clk2;l ed_selout<="00110000"; when

"01101"=>led7s1<="0000011";led7s2<="11011111";speak<=clk2;l ed_selout<="01100000"; when

"01110"=>led7s1<="0000011";led7s2<="10111111";speak<=clk2;l

ed_selout<="11000000"; when

"01111"=>led7s1<="0000011";led7s2<="01111111";speak<=clk2;l ed_selout<="10000001"; 此段程序就是当敏感信号 s 发生变化至01000 时,对数码管进行置位和置型, 0000011 也就是对相应的数码管使之显示花型为 B,通过对数码管使能端的置位,控制显示的数码管显示为 BB ,并且发光二极管最左边显示为亮。当 S 每变化一次,数码管向右移动一格,发光二极管也同步向右移动,每次只亮一个灯。当 s 变化到 01111 之后执行下一段程序,同时扬声器发出声音。

when

"10000"=>led7s1<="1000110";led7s2<="01111110";speak<=clk3;l ed_selout<="10000001";

when"10001"=>led7s1<="1000110";led7s2<="10111101";speak<=cl k3;led_selout<="01000010";

when"10010"=>led7s1<="1000110";led7s2<="11011011";speak<=cl k3;led_selout<="00100100"; when

"10011"=>led7s1<="1000110";led7s2<="11100111";speak<=clk3;l ed_selout<="00011000";

when"10100"=>led7s1<="1000110";led7s2<="11100111";speak<=cl k3;led_selout<="00011000";

when"10101"=>led7s1<="1000110";led7s2<="11011011";speak<=cl k3;led_selout<="00100100";

when"10110"=>led7s1<="1000110";led7s2<="10111101";speak<=cl k3;led_selout<="01000010"; when

"10111"=>led7s1<="1000110";led7s2<="01111110";speak<=clk3;l ed_selout<="10000001"; when others=>null;

end case;

end process;

end;

此段程序就是当敏感信号 s 发生变化至 10000 时,对数码管进行置位和置型,1000110 也就是对相应的数码管使之显示花型为C,通过对数码管使能端的置位,控制显示的数码管显示为 CC ,并且发光二极管最左边第一个和最右边第一个显示为亮。当 S 每变化一次,数码管向中间移动一格,发光二极管也同步中间移动,可以看到由二边向中间移动再发散到二边的变化过程。当 s 变化到10111 之后执行再循环显示 A 花型,同时扬声器发出声音。

四、波形仿真分析

程序经过编译之后即可进行波形的仿真,为了方便观察显示结果,这里调节仿真时间为 3us ,脉冲频率 clk 的周期为 5ns,复位信号暂且不进行仿真.。执行 Quartus 软件中的 processing--> start simulation 选项,即可观察到波形仿真图像。如下图所示为显示花型 AA 的仿真结果。

图 2 花型 A 波形仿真图

由图 2 可知,当 clk 发生变化,来上升沿时,led7s1 为0001000 即数码管首先显示为花型 A 二极管按规定的显示,首先led7s2 位 01111111 即最右边的二极管亮灯,led_selout 为11000000 即最右边的 2 个数码管显示 2 个 A 的花型。当 clk 变化到规定次数时二极管的显示开始按左移动,数码显示管也向左移动。Led7s2 依次变化 01111111~11111110,实现二极管的向左移动;led_selout 也依次变化 11000000~00000011 实现数码显示管的向

左移动。同时当 clk 信号变化 50 次时产生一个上升沿 clk1,控

制扬声器发出声音。

图 3 花型 BB 的波形仿真图

由图 3 可知,当 clk 发生变化,来上升沿时,led7s1 为0000011 即数码管首先显示为花型 B 二极管按规定的显示,首先led7s2 位 11111110 即最右边的二极管亮灯,led_selout 为00000011 即最右边的 2 个数码管显示 2 个 B 的花型。当 clk 变化到规定次数时二极管的显示开始向右移动,数码显示管也向右移动。Led7s2 依次变化 11111110~01111111,实现二极管的向右移动;led_selout 也依次变化 00000011~11000000 实现数码显示管的向

右移动。同时当 clk 信号变化 25 次时产生一个上升沿 clk2,控制扬声器发出声音。

图 4 花型 C 的波形仿真图

由图 4 可知当 clk 发生变化,来上升沿时, led7s1 为1000110 即数码管首先显示为花型 C 二极管按规定的显示,首先led7s2 位 01111110 即最右边和最右边的二极管亮灯, led_selout 为 10000001 即最右边和最左边的 2 个数码管显示 2 个 C 的花型。 clk 变化到规当定次数时二极管的显示开始向中间移动,数码显示管中的花型也向中间移动,然后再由中间向俩边移动。

Led7s2 依次变化 01111110~11100111~01111110,实现二极管的向中间移动再向俩边移动; led_selout 也依次变化

10000001~00011000~10000001 实现数码显示管的向中间再向俩边移动。同时当 clk 信号变化 8 次时产生一个上升沿 clk3,控制扬声器发出声音。

波形仿真完成之后即可进行引脚的分配,并进行编程下载至硬件进行测试。在 Quartus 中选择 Assignments->Assignments Editor,在 Assignments Editor 窗口中选择 pin 标签页,即可分配引脚,引脚的分配情况如图 5 所示。

图 5 引脚分配图

如上图所示,在实验过程中 clk 的引脚选择 J16 即可外接频率源,实验过程中选择的频率为 1KHZ。其余数码管和二极管以及 8 个数码管的使能端引脚分配见上图,复位键引脚 T10 对应的按键。选

择 process->start compilation,重新编译,完成后形成可配置到FPGA 的 pan.sof 文件和配置到外部的 pan.pof 文件。

编程下载,在 quartus 软件中,选择 tools/programmer,在Mode 中选择 JTAG,点击”add file”按钮添加需要配置的 pan.sof 文件,选中 program /configure,点击 start 按钮对芯片进行配置,等待一段时间后即可观察到实验结果。

在实验箱上,我们观察到实验结果按我们所设计的那样显示,即可显示我们预先设定的三种花型 AA、BB、CC 并且能够按要求循环移动,发光二极管也可按设计要求循环闪烁,并与数码显示管的花型变化同步。同时当显示不同的花型时扬声器发出了不同的声音。当按下复位键时我们可以看到数码显示管重新开始再最右边显示花型AA,并且扬声器停止发声。这些实验结果验证所设计程序的正确性,实现了设计内容和要求,并且有了一定的扩展功能。

五、设计体会

本次EDA课程设计实验,我选择了彩灯控制器的设计实验,我将理论与实践充分地结合到一起。实践中验证理论,实践中发现新的问题,用所学到的理论知识去解决遇到的问题,在实验的过程中通过思考,摸索以及向老师、同学请教,我学到了不少知识,掌握了相关软件的操作,而这些是在书本中根本无法学到的,只有通过自己亲自实践,才会有所启发,才会有所收获。原来所学的书本知识在学习的时候感到枯燥无味,因此只是理解了个皮毛。但是,现在通过这次设计实验,使得我对书本知识有了一个更深入的理解,从根本上对有些问

题有了一个全新的看法,希望以后还有更多这样的实验机会。由于实验的复杂性,所以在仿真的过程中也遇到了困难。仿真的过程不能急躁,要一步一步来,看波形之前要先检查其静态工作点,如果静态工作点都不满足要求如何能有波形,所以要先调静态,后再调动态。而且静态工作点的位置不好的话,也会导致波形的失真。这次设计实验是我通过自身去解决,去分析,去探索的,我从中受益匪浅,也更深入地理解理论。

本次设计的课题是彩灯控制器的设计,这个课题的关键是计数器和分频器的使用,分频的方法有很多种,对于同一种功能的实现,用VHDL可以采用多种方式进行描述,每种方式之间各有优劣,本次设计只采用了其中较简单的一种,应尽量用最简洁的语言写出所需功能的程序。

通过这次课程设计对EDA技术有了更进一步的熟悉,VHDL 语言和C语言等其他语言还是有很大的区别。VHDL 是 EDA 技术的重要组成部分,其具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力。其主要的也是最大的优点就在于设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。在实际操作中发现设计和课本上的知识有很大联系,但又高于课本,一个简单的原理要把它应用以及和其他功能综合起来就有些困难。通过设计也巩固了我们的书本知识以及通过借阅书籍和上网

查找资料,也丰富了自己对EDA的了解。不过本次设计也存在一些不足,暴露了自己对EDA的掌握还有所欠缺。在设计过程中,分频分的太大,频率太小的话,扬声器的声音体现不出显示不同花型时的区别;频率太大的话,数码管显示速度太快,尝试分频时使用不同的脉冲信号,但没有成功。在反复调试中,最后还是成功了,但原理还不是很清楚。同时,在课程设计过程中通过与老师、同学的交流,也了解了他们对于这门技术的看法和今后这门技术的发展方向,也感谢老师对我设计的指导和同学对我的帮助。

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

eda课程设计-彩灯控制器

1.设计目的 学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。 2.设计题目描述和要求 1)设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式; 2)随着彩灯显示图案的变化,发出不同的音响声。 3)扩充其它功能。 3.设计原理 3.1 方案论证 这次的彩灯设计采用的是分模块来完成的,包括分频器、计数器、选择器、彩灯控制器。其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个32进制的计数器来控制,扬声器的输出时用不同的频率来控制,所以用了一个集成分频器来使输入的频率被分为几种不同的频率,不同频率的选择性的输出则是用一个4选一的选择器来控制。基于上述的介绍本次的彩灯控制采用的模式6来进行显示。

图3-1-1 模式6结构图 3.2 模块设计 1)集成分频器模块 设计要求显示不同的彩灯的时候要伴随不同的音乐,所以设计分频器来用不同的频率控制不同的音乐输出。 模块说明: Rst:输入信号复位信号用来复位集成分频器的输出使输出为“0”,及没有音乐输出。 Clk:输入信号模块的功能即为分频输入的频率信号。 Clk_4、clk_6、clk_8、clk_10:输出信号即为分频模块对输入信号clk 的分频,分别为1/4分频输出、1/6分频输出、1/8分频输出、1/10分频输出。

图3-2-1 集成分频器 2)32进制计数器模块 32进制模块用来控制彩灯输出模块,即确定彩灯控制器的不同的输出。Rst:输入信号复位信号用来复位32进制使其输出为“00000”。 Clk:输入信号用来给模块提供工作频率。 Count_out[4..0]:输出信号即为32进制计数器的输出。 图3-2-2 32进制计数器 3)彩灯控制模块 彩灯控制模块用来直接控制彩灯的输出,使彩灯表现出不同的花样。Rst:输入信号使彩灯控制模块的输出为“00000000”,即让彩灯无输出。Input[4..0]:输入信号不同的输入使彩灯控制模块有不同的输出即彩灯显示出不同的花样。 Output[7..0]:输出信号直接与彩灯相连来控制彩灯。 图3-2-3 彩灯控制模块

花样彩灯课程设计

摘要在许多场合都可以看到彩色霓虹灯,LED彩灯由于其彩色丰富,造价低廉,控制简单等特点受到了广泛的应用。本系统是以51系列单片机AT89C51为主控器,附有LED发光二极管,用51系列单片机AT89C51来控制LED发光二极管亮灭,系统运行稳定,花样多多。 关键词彩色霓虹灯AT89C51 LED 一方案设计与论证 1.1单片机 方案一STC89C52 STC89C52是STC公司生产的一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。能为许多嵌入式控制引用系统提供高灵活、超有效的解决方案。 方案二AT89C51 AT89C51是一种带4K字节Flash存储器的低电压、高性能COMS 8位微处理器。AT89C51单片机能为很多嵌入式系统提供一种灵活性高且价廉的方案。 虽然STC89C52具有51单片机不具备的功能,单本系统操作简单,且51单片机价格低廉,所以我们选择方案二。 二硬件电路设计 2.1 主控模块 2.1.1 AT89C51引脚图

图2.1.1 AT89C51引脚图 2.1.2AT89C51引脚功能介绍 Vss:接地端。 Vcc:电源端。 XTAL1:接外部晶体的一个引脚。CHMOS单片机采用外部时钟信号时,时钟信号由此脚引入。 XTAL2:接外部晶振的一个引脚。HMOS单片机采用外部时钟信号时,外部时钟信号由此脚接入。 RST:(1)复位信号输入;(2)Vcc掉电后,此引脚可接备用电源,低功耗条件下保持内部RAM中的数据。 ALE//PROG:地址锁存允许。 /PSEN:程序锁存允许。 /EA/Vpp:EA=0,单片机只访问外部程序存储器。EA=1,单片机访问内部程序存储器。 P0口----P0口可以作为输入/输出口,在实际应用中,常作为地址/数据总线口,即低8位地址与数据线分时使用P0口。低8位地址由ALE信号的下跳沿锁存到外部地址锁存器中,而高8位地址由P2口输出。 P1口----P1口每一位都能作为可编程的输入或输出口线。 P1.0:T2引脚,定时/计数器2外部计数脉冲。 P1.1:T2EX引脚,定时/计数器2触发和方向控制。 P1.5:MOSI引脚,在系统编程数据输入。 P1.6:MISO引脚,在系统编程数据输出。 P1.7:SCK引脚,在系统编程时钟输入。 P2口----P2口可以作为输入口或输出口使用,外接存储器和I/O接口时,又作为扩展系统的地址总线,输出高8位地址,与P0口一起组成16位地址总线。对于内部无程序存储器的单片机来说,P2口一般只作为地址总线使用,而不作

彩灯课程设计报告材料

《8路彩灯控制电路》 课程设计报告 专业:计算机科学与技术 班级:一班 姓名:XX 学号: 6 同组成员: 指导教师:伟 2015年 6 月23 日

目录 一、课程设计目的 (2) 二、课程设计题目描述和要求 (2) 三、课程设计报告容 (2) 四、总结,设计体会 (9)

一、课程设计目的 1.了解数字电路设计的基本思想和方法 2.进一步掌握数字电路课程所学的理论知识 3.熟悉集中常用集成数字芯片,掌握其工作原理 二、课程设计题目描述和要求 实现彩灯控制要求设计的彩灯路数较少,且花型比较简单,因此采用74LS194移位寄存器和74LS161四进制同步加法计数器以及简单的逻辑器件来控制彩灯电路。 (一)彩灯控制器设计要求 设计制作八路彩灯控制电路,用以控制八个LED彩灯按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯按预设花型闪烁 2.LED灯按照事先设计的方式工作 (二)课程设计总体要求 1.根据设计任务,每组成员共同完成一份设计电路图 2.根据设计的电路图,两人一组利用万能板完成电路焊接,并调试成功 三、课程设计报告容 (一)原理分析 1.电路主要分为三个部分: 第一板块:实现脉冲时钟信号的产生; 第二板块实现花型的控制及节拍控制; 第三板块实现花型的演示。 2.根据实验所提供的器材,我的设计思路如下: ○!时钟信号由一个单脉冲提供; ○2花型控制电路由两片74LS161 四位二进制同步加法计数器和两个非门共同完成; ○3花型演示电路由两片74LS194移位寄存器完成,可以左移右移完成花型变

化。 3.花型控制电路设计: 花型1:8路彩灯分为上下两部分,每部分从下向上依次亮,然后从下向上依次灭,循环两次。 花型2:从两边到中间依次对称地渐亮,全亮后仍由两边到中间逐次灭,循环两次。 花型3:从中间到两边依次对称地渐亮,全亮后仍由中间到两边逐次灭,循环两次。 花型4:8路点灯分为上下两部分,每部分从上向下依次亮,然后从上向下依次灭,循环两次。 4.将两片74LS194分为低位片和高位片,再将其输出端从低位到高位记为L1~L8,所得四种花型的L1~L8状态值变化情况如下表: 四种花型每种显示两边,1~16显示第一个花型,17~32显示第二个花型,33~48显示第三个花型。 5.要用194芯片实现四个花型的连续显示必须对两片194的S1和S0,SL和SR 的每一节拍变化进行相应的改变。两片161的输出端从Q0~Q7根据变化的花型的频率选用高位片的Q4和Q5分别去控制194的S1和S0。它们的SR和SL则有低位161片的Q2经过非门来控制,这样就可以实现对花型变化周期的控制。各花型和其对应的194的S1、S0、SR、SL的输出信号及节拍控制信号列表如下:

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

PLC彩灯控制课程设计

P L C彩灯控制课程设计 The Standardization Office was revised on the afternoon of December 13, 2020

基于P L C 的彩灯控制

目录 课程设计任务书 (1) 引言 (2) 一 PLC的定义及发展 (3) 二系统分配 (6) 硬件分配图 (6) 软件分配图 (7) 三方案设计 (8) 顺序工程图 (8) 程序运行步骤 (9) 四总结 (10) 参考文献 (11)

基于PLC的彩灯控制 一、控制要求: 系统启动后,L1、L5同时亮,然后熄灭;之后L2、L8同时亮,然后熄灭;接着L3、L7同时亮,然后熄灭;最后L4、L6同时亮,然后熄灭,按照此方式循环5次后再逆向闪烁5次,并循环。 二、设计任务 1.设计出硬件系统的结构图、接线图; 2.系统有启动、停止功能; 3.运用功能指令进行PLC控制程序设计; 4.程序结构与控制功能自行创新设计; 5.进行系统调试,实现上述功能。

引言 随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字电路则不能胜任。针对PLC日益得到广泛应用的现状,本文介绍PLC在不同变化类型的彩灯控制中的应用,灯的亮灭、闪烁时间 及流动 方向的控制均通过PLC来达到控制要求。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过PLC控制。流水灯负载变化频率高,变换速度快,使人有眼花缭乱之感,分为多灯流动、单灯流动等情形。变幻灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化,但频率不高。流水灯及变幻灯均适宜采用PLC控制。 关键词:PLC 循环控制

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

八路彩灯控制器 课程设计

目录 第一章总体设计 (5) 1.1设计目的 (5) 1.2设计任务与要求 (5) 1.3基本工作原理 (5) 1.4设计方案 (5) 第二章单片机简介 (6) 2.1单片机结构 (6) 2.2单片机的封装形式、引脚定义及功能 (7) 2.3单片机的工作原理 (8) 2.4 CPU的工作原理 (8) 2.5存储器结构 (9) 2.6 CPU时序及时钟电路 (10) 2.7复位操作 (12) 第三章硬件设计 (14) 3.1整体硬件接结构 (14) 3.2功能模块电路 (14) 3.3系统硬件原理电路图 (16) 第四章软件设计 (17) 4.1软件总体结构设计 (17) 4.2各功能模块设计 (18) 总结与心得 (25) 参考文献: (26)

第一章总体设计 1.1设计目的 1)了解单片机的基本原理及相关的简单应用。 2)掌握用单片机设计系统的一般步骤。 3)了解LED数码管的基本知识和驱动方法。 4)掌握单片机系统各个组成部分的作用以及分布位置。 5)学会运用单片机的硬件资源。 1.2设计任务与要求 8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。要求:完成以下花形变化: 1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。时间节拍为1秒。 2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。时间节拍为1秒。 3)循环往复,用LED管模拟彩灯。 4)用汇编语言编程,用proteus仿真。 1.3基本工作原理 此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。若查到的内容为跳出代码就重新开始循环。 1.4设计方案 软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。芯片的控制由AT89C51的外接晶振和复位电路进行控制。

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

EDA课程设计 彩灯控制器.

电子设计自动化大作业 题目彩灯控制器的设计 学院**学院 班级电气** 学号********** 姓名******** 二O一二年十月三十一日

彩灯控制器的设计 一、彩灯控制器的设计要求 设计能让一排彩灯(8只)自动改变显示花样的控制系统,发光二极管可作为彩灯用。控制器应有两种控制方式: (1)规则变化。变化节拍有0.5秒和0.x秒两种,交替出现,每种节拍可有4种花样,各执行一或二个周期后轮换。 (2)随机变化。无规律任意变化。 二、彩灯控制器的设计原理 本次彩灯控制器的设计包含几个主要模块,一是彩灯显示和扬声器的时序控制部分,二是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;三是扬声器的控制部分。流程图如下所示: 图 1 彩灯控制器的设计流程图 彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较

简易。分频的方法有很多种,本次设计之采用了其中较简易的一种,通过计数器的分频,将控制器外接的频率分为几个我们预先设定的值。当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。扬声器通过不同的频率控制发出不同的声音。同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在1~4 之间为宜)。通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为 AA、BB、CC,并随着发光二极管同步动态显示。AA 为自左向右显示,BB 为自右向左显示,CC 从二边向中间再由中间向二边发散显示。与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。 三、程序设计和分析 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 建立设计库和标准程序包 实体部分: entity pan is port(clk:in std_logic; clr:in std_logic; speak:out std_logic; led7s1:out std_logic_vector(6 downto 0); led7s2:out std_logic_vector(7 downto 0);

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

eda课程设计-彩灯控制器

《EDA技术》课程设计报告 题目:彩灯控制器 专业:电子信息工程 班级:0702 姓名: 指导教师: 二0一0 年 6 月24 日

目录 1设计目的 (3) 2设计题目描述和要求 (3) 3设计原理 (3) 3.1方案论证 (3) 3.2模块设计 (4) 3.3系统结构 (6) 4总结 (7) 5参考书目 (8) 6附录一程序 (9) 7附录二编译 (16) 8附录三时序仿真 (17)

1.设计目的 学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。 2.设计题目描述和要求 1)设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式; 2)随着彩灯显示图案的变化,发出不同的音响声。 3)扩充其它功能。 3.设计原理 3.1 方案论证 这次的彩灯设计采用的是分模块来完成的,包括分频器、计数器、选择器、彩灯控制器。其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个32进制的计数器来控制,扬声器的输出时用不同的频率来控制,所以用了一个集成分频器来使输入的频率被分为几种不同的频率,不同频率的选择性的输出则是用一个4选一的选择器来控制。基于上述的介绍本次的彩灯控制采用的模式6来进行显示。

图3-1-1 模式6结构图 3.2 模块设计 1)集成分频器模块 设计要求显示不同的彩灯的时候要伴随不同的音乐,所以设计分频器来用不同的频率控制不同的音乐输出。 模块说明: Rst:输入信号复位信号用来复位集成分频器的输出使输出为“0”,及没有音乐输出。 Clk:输入信号模块的功能即为分频输入的频率信号。 Clk_4、clk_6、clk_8、clk_10:输出信号即为分频模块对输入信号clk 的分频,分别为1/4分频输出、1/6分频输出、1/8分频输出、1/10分频输出。

流水灯课程设计(免费)..

数字电子技术课程设计报告 (彩灯控制器) 专 专业:电子信息工程 班级:7B1211 学号:123025 姓名:白旭飞 年月:2014-6-28

一、设计要求 1. 以8或10个指示灯作为显示器件,能自动的从左到右、从右到左自动的依次被点亮,如此周而复始,不断循环。 2.打开电源时控制器可自动清零,每个指示灯被点亮的时间相同约为0.5S~2S 范围内。 3.用计算机画出设计电路图,进行仿真分析验证其正确性。 4.写设计说明书一份(画总原理框图以及说明主要工作原理,单元电路的设计和元器件的选择,画出完整的电路图和元器件明细表,收获、体会及建议) 二、设计的作用,目的 1.作用 利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可获得良好的观赏效果,且可以省电(与彩灯全亮相比)。 2.目的 用NE555芯片,74LS151芯片,74LS163芯片,74LS194,以及一些逻辑门芯片完成彩灯控制器。 三、设计的具体实现 1.系统概述 接通电源时,555占空比可调振荡器产生1s单位的脉冲,脉冲送到下一个模块74LS151计数器,目的实现模5计数器,达到每五秒生成一个脉冲输向下一个芯片74LS194移位寄存器以及计数器74LS163。进而彩灯在脉冲的作用下依次点亮,并实现循环,完成实验要求。 2.总体思路 先用555定时器用来生成1s标准单位cp脉冲,把脉冲给计数器74LS151,通过74LS151形成模5加法计数器,再将74LS151输出信号供给74LS194移位寄 存器输入端,Q 0,Q 1, Q 2 和Q 3 接彩灯然后连接几个逻辑门,把74LS194接成环形 计数器。就能实现基本电路要求。 3.方案设计 总体电路共分三大块。第一块实现时钟信号的产生;第二块实现灯亮灭情况的演示;第三块实现灯亮灭的控制及节拍控制。

单片机的彩灯课程设计报告

一、系统设计 本设计以STC89C52单片机为核心,用独立键盘控制模式的选择,STC89C52单片机接收到键盘信号后控制发光二极管以不同的模式闪烁的实验装置,用STC89C52单片机控制8个发光二极管发光,实现亮点的循环移动。通过Protel软件设计,布线排版,手工制版,并能掌握通过软件控制发光二极管的思路和技巧。这次设计重点就在于利用单片机的知识去控制系统的运行,图1-1为整体方案设计框图。 图1-1 总体方框图 1.设计要求: a.上电复位。 b.上电后数码管消隐,LED灯全灭。 c.当按下第一个按键后数码管显示1第二个按键显示2以此类推。 d.每个按键控制不同的闪烁模式。 e.数码管显示有保持功能。

二、方案设计 本设计总体设计思路为:以STC89C52单片机为核心,用独立键盘作STC89C52单片机的信号输入,STC89C52单片机接收到键盘信号后经单片机内部软件处理后将数据输出至P1口和P0口,使8发光二极管以不同的模式闪烁,并由七段数码管显示其闪烁模式编号。在课程设计中通过Protel软件设计原理图,布线排版,用万能版(单孔)手工焊接制作出实物。 1.方案论证 方案一:采用汇编语言编程的软件的STC89C52单片机系统。 本方案设计的核心为STC89C52单片机系统,包括硬件和软件,硬件采用四位独立键盘做信号输入,STC89C52单片机进行信号处理并输出,显示部分为八个发光二级管和七段数码管,八个发光二极管采用共阴极接法,七段数码管采用共阳极的。软件采用Kell编辑的汇编语言程序,并烧写至STC89C52单片机。图2-1为方案一方框图 图2-1 方案一方框图

LED循环彩灯课程设计

××学院 《模拟电子技术》课程设计 题目 LED循环彩灯 学生姓名××× 专业班级电科(3)班 学号 201231000 院(系)电气工程学院 指导教师××

完成时间 2013年12月17日

目录 1、课程设计的目的 (1) 2、课程设计的任务与要求 (2) 2.1实验器材 (2) 2.2电子器件的识别 (2) 2.3焊接技术 (2) 2.4元器件安装及要求: (3) 3、设计方案与论证 (3) 3.1功能分析与具体要求 (3) 3.2设计思路 (4) 4、设计原理及功能说明 (4) 5、单元电路的设计(计算与说明) (6) 6、硬件的制作与调试 (7) 7、总结 (10) 参考文献: (12)

附录1:总体电路原理图.......................错误!未定义书签。附录2:元器件清单.. (14)

1、课程设计的目的 当今世界,彩灯已经成为我们生活的一部分,能给我们带来视觉上的享受还能美化我们的环境。街角巷里,高楼大厦无处不是因它炫彩夺目以及控制简单等特点而得到了广泛的应用,用LED彩灯来装饰街道和城市建筑已经成为一种潮流。发光二极管简称为LED。它是一种能发光的半导体电子元件。这种电子元件早在1962年出现,早期只能发出低光度的红光,之后发展出其他单色光的版本,时至今日能发出的光已遍及可见光、红外线及紫外线,光度也提高到相当的光度。具有效率高、寿命长、不易破损、开关速度高、高可靠性等传统光源不及的优点。白光LED的发光效率,在近几年来已经有明显的提升。因此人们现在更侧重于用LED来照明以及装饰屋内及屋外环境,LED广泛应用与生活的各个方面,不断走进人们的生活也不断地改变着人们的生活。 本实验主要是结合我们以往所学课程的基础理论、基本技能和专业知识的能力,不仅要考虑总体电路的设计还要考虑系统各部分电路的选择、设计及它们之间的相互连接。主要目的是在实践中逐步培养我们建立正确的设计思想,掌握工程设计的一般程序、规范和方法。 (1)了解各种元器件的性能、作用和工作原理; (2)掌握一定的焊接技术以及简单元器件装配; (3)学习印刷电路板;

彩灯控制器数电课程设计报告

五邑大学 数字电路课程设计报告题目:彩灯控制器 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师王玉青

彩灯控制器 一、 设计的要求和意义 1、设计的要求 使用中小型集成电路和门电路设计彩灯控制器要求完成以下功能: ● 使用555定时器产生一个10Hz 的周期信号作为时钟信号 ● 显示方式由L0到L7逐行递增点亮 可选用的器件与元件: 74LS138、NE555、74LS161各1块,发光二极管8支,电阻、电容任选。 2、设计的意义 掌握555多谐振荡器、译码器、十六进制加/减计数器的逻辑功能和工作原理,设计可改变流速的流水灯电路图,分析与设计时序控制电路。利用所学过的知识,通过设计计算﹑元件选取﹑电路板制作调试等环节,初步掌握工程设计的技能。掌握常用仪表的正确使用方法,学会简单电路的实验调试和整机指标测试方法,巩固和加深对数字逻辑电路的理论知识,锻炼动手能力。了解与课程有关的电子电路以及元器件工程技术规范,能按课程设计任务书的技术要求,编写设计说明,能正确反映设计和实验成果,能正确绘制电路图。 二、 硬件电路设计 1、方案设计 本设计采取的方案是:用NE555一个、74LS161一片、74LS138一片、74LS04一片、电阻、电容、发光二极管和门电路。由555多谐振荡器提供时钟脉冲,74LS161二进制加/减计数器一片用来计数和输出信号,通过译码器74LS138直接输出控制彩灯。如图1所示: 2、555多谐振荡器电路设计 555多谐振荡器的电路连接方式已确定的,只需根据所要产生的频率来确定外围的电阻和电容的大小。 电阻R1和R2的确定: 因为要产生10Hz 的时钟信号,先假定电容C 的值为0.1uF ,再根据公式: ) 1(2 ln )221(1 ??????+= C R R f 555多谐振荡器 计数器 译码器 彩灯 非门

八路彩灯课程设计

课程设计任务书 专业姓名学号 开题日期:2014年 3 月12 日完成日期:2012 年12 月26 日 题目八路彩灯 一、设计的目的 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 二、设计的内容及要求 设计任务 设计一个八路彩灯逻辑控制电路。 设计要求及器件 (1)共有八个彩灯,分别实现三个过程,构成一个循环共25秒。 (2)第一个过程要求八个灯从左到右依次点亮,各一秒,共八秒。 (3)第二个过程要求八个灯从右到左依次熄灭,各一秒,共八秒。 (4)最后八个灯同时闪烁八次,共8秒。 三、指导教师评语 四、成绩 指导教师(签章) 年月日

目录 第1章概述 --------------------------------------------------------------------------------------------------------------------------- 1 1.1.设计任务及要求 ---------------------------------------------------------------------------------------------------------- 2 1.1.1设计任务 ----------------------------------------------------------------------------------------------------------- 2 1.1.2设计要求及器件-------------------------------------------------------------------------------------------------- 2 1.1.3设计任务及目标:----------------------------------------------------------------------------------------------- 2 第2章.总体设计思路模块--------------------------------------------------------------------------------------------------------- 2 2.1系统逻辑框图 -------------------------------------------------------------------------------------------------------------- 2 2.2设计的方法 ----------------------------------------------------------------------------------------------------------------- 3 第3章.各单元电路图及功能说明模块---------------------------------------------------------------------------------------- 4 3.1器件选择 -------------------------------------------------------------------------------------------------------------------- 4 3.1.1:计数器S163芯片的介绍 ------------------------------------------------------------------------------------ 4 3.1.2:计数器LS190芯片的介绍----------------------------------------------------------------------------------- 5 3.1.3双向寄存器74LS194 -------------------------------------------------------------------------------------------- 6 3.2各功能电路实现原理及电路分析模块------------------------------------------------------------------------------- 7 3.2.1 彩灯演示电路 ---------------------------------------------------------------------------------------------------- 7 3.2.2彩灯控制电路----------------------------------------------------------------------------------------------------- 7 第4章.电路总体图设计图--------------------------------------------------------------------------------------------------------- 8 第5章.心得体会: ------------------------------------------------------------------------------------------------------------------ 9 第6章.参考文献: ------------------------------------------------------------------------------------------------------------------ 9

相关文档
最新文档