彩灯控制器设计.

彩灯控制器设计.
彩灯控制器设计.

课程设计名称:电子技术课程设计题目:彩灯控制器设计

学期:2013-2014学年第2学期

专业:电气工程及其自动化

班级:

姓名:

学号:

指导教师:刘桂芬

辽宁工程技术大学

课程设计成绩评定表

课程设计任务书

一、设计题目

彩灯控制器设计

二、设计任务

1.有六只LED彩灯

2.控制顺序是:全亮---奇数灯依次灭---偶数灯依次灭---依次亮—依次灭---全亮—全灭

3.彩灯点亮时间为0.5S。

三、设计计划

电子技术课程设计共1周。

第1天:选题,查资料;

第2天:方案分析比较,确定设计方案;

第3~4天:电路原理设计与电路仿真;

第5天:编写整理设计说明书。

四、设计要求

1. 画出整体电路图。

2. 对所设计的电路全部或部分进行仿真,使之达到设计任务要求。

3. 写出设计说明书。

指导教师:刘桂芬

日期:2014年6月18日

摘要

近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。

现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。

关键词:集成电路;计数器;译码器;

目录

1 综述 (1)

2 方案设计与分析 (2)

3 电路设计框图及功能描述 (3)

4 电路原理设计及参数计算 (4)

4.1 21进制计数器 (4)

4.2 5-32译码器 (4)

4.3 真值表 (5)

5 各单元电路的制作 (6)

5.1 21进制计数器的实现 (6)

5.2 5-32译码器的实现 (7)

5.3 控制电路的实现 (7)

5.4 电路图及仿真 (8)

6 课程设计体会 (9)

参考文献 (10)

辽宁工程技术大学电子技术课程技术

1 综述

随着科学技术的发展以及人民生活水平的提高,在生活中使用彩灯作为一种装饰越来越流行,彩灯既可以增强人们的视觉效果,又可以增添节日气氛,为人们的生活增添了亮丽的色彩。现代数字电子技术的发展逐渐趋向于小型化,快速化,精确化,控制使用简易方便的方向发展。在数字电子技术广泛应用的阶段,人们追求贯彻整个系统的自动化设计,整个过程通过集成块完成自动控制,提高了设计的质量和效率,大大降低了故障产生的机会。

1

彩灯控制器设计

2 方案设计与分析

方案一:利用两片十六进制计数器74LS161设计一个21进制计数器,用从0到20种状态来控制这21种状态,然后通过译码器翻译成可以实现的高低电平。最后写出这21种状态和计数器数字对应的真值表,计算出逻辑式,便可实现所要求的彩灯控制。该方案思路简单明确,控制产生的现象明显,稳定,易于实现。

方案二:利用两片十进制计数器74160设计一个40进制计数器,再用个位的0到9输出状态与十位的0到3四种输出状态通过逻辑器件构成LED灯的控制电路,实现彩灯的亮灭控制。该方案LED灯的控制电路比较复杂,逻辑门器件容易出现延迟,竞争冒险风险,从而影响对LED灯的控制结果。

2

辽宁工程技术大学电子技术课程技术

3 电路设计框图及功能描述

按课程设计题目来看,要求实现彩灯的21种状态(开始灯全部亮1种状态,奇数的灯依次灭3种状态,偶数的灯依次灭3种状态,然后依次亮6种状态,依次灭6种状态,然后再全亮1种状态,全灭1种状态,共21种)。

此处可以用一个21 进制的计数器实现,从0到20 种状态来控制这21 种状态(00000-10110),然后把计数器用译码器译成可以实现的高低电平。再写出这21种状态和计数器数字对应的真值表,计算出逻辑式,便可实现题目要求的彩灯控制。

由上分析可知需要一个21进制的计数器,然后需要一个5-32译码器,然后在由门电路连接LED灯。

总体设计思路图如下所示:

图1.1 整体设计方案的框图

3

彩灯控制器设计

4 电路原理设计及参数计算

4.1 21 进制计数器

21进制计数器是由两个16进制计数器构成,并且将21进制计数器的每个状态输出,令其对应LED的每一种状态。

21 进制计数器:用2 片74LS161(具有异步清零,同步置数的功能)扩展成21进制计数器,采用并行进位方式、整体置数法。因为计数器需要23种状态(00000-10100),所以先用两片74LS161连接成256(16*16)进制计数器,然后在输出为10100(20)时,用与非门来控制两计数器的LDN端预置数到零,完成一次完整的循环计数。

4.2 5-32 译码器

5线-32线译码器是由5片74LS138译码器实现,它的扩展原理同用2片74LS138进行4线—16线扩展类似。正常的5线-32线译码器应该需要5片74LS138译码器来扩展实现,但由于本设计中要求控制彩灯变化的状态只有23种,故只需要用4片74LS138即可实现5线-24线的译码功能,左边的一片74LS138芯片用于控制选择右边的三片74LS138芯片的工作或者锁定状态,右边的三片74LS138芯片每片都可控制八种输出状态,共可控制输出24种状态,而题目要求为21种,所以能满足题目的要求。当接通时,先通过左边的芯片控制右边芯片的使能端来控制其是否工作,然后右边的芯片再进行移位变化控制终端LED彩灯的状态,从而实现21种状态的循环。

4

辽宁工程技术大学电子技术课程技术

4.3 真值表

由21进制计数器输入一个五位二进制数(00000-10110),输出彩灯所对应的状态(1表示灯亮,0表示灯灭),对应的真值表如下:

表4-3 真值表

5

彩灯控制器设计

5 各单元电路的制作

5.1 21 进制计数器的实现

21进制计数器是由两个16进制计数器构成,并且将21进制计数器的每个状态输出,令其对应LED的每一种状态,计数器实现如图5-1。

图5-1 21进制计数器及仿真

6

辽宁工程技术大学电子技术课程技术

5.2 5-32 译码器的实现

5-32译码器是由5个74LS138实现,它的实现原理同用2个74LS138实现4-16译码器的原理,设计图如图5-2。

5.3 控制电路的实现

由真值表可得到各个LED灯的逻辑表达式如下:

L0=M0+M1+M2+M3+M7+M8+M9+M10+M11+M12+M19;

L1=M0+M8+M9+M10+M11+M12+M13+M19;

L2=M0+M1+M2+M3+M4+M9+M10+M11+M12+M13+M14+M19;

L3=M0+M1+M10+M11+M12+M13+M14+M15+M19;

L4=M0+M1+M2+M3+M4+M5+M11+M12+M13+M14+M15+M16+M19;

L5=M0+M1+M2+M12+M13+M14+M15+M16+M17+M19;

74LS138D

图5-2 5-32译码器

7

彩灯控制器设计

5.4 电路图及仿真

图3.1 整体电路图

8

辽宁工程技术大学电子技术课程技术

6 课程设计体会

在这次的课程设计中,我收获很大,具体有以下几方面:

1. 学以致用。在学习数字电路的过程中,上课我们都是些理论上的东西,并且在做实验的过程中也是照着书本上给出设计好的电路连线,不需要自己设计,一直都没有运用到自己所学的知识来设计一个电路。而这次的课程设计真正让我们通过自己的知识和努力,通过自己查阅资料、分析来解决问题。这不但能巩固我们所学的理论知识,又能提高我们的实践能力。

2. 学会分析解决设计上的问题。在设计电路的过程中,每一步都要自己去思考分析,遇到问题时,经常都要经过多次的尝试来解决改善问题,有时一点微小的错误都会影响到结果的正确以否,而这些微小的错误经常都是很难发现,这就需要我们学会仔细分析问题。

3. 懂得了如何去安装调试电路。设计电路,关键步骤还在于解决实际遇到的问题,安装调试出正确的结果,这一步是至关重要也是最为困难的。很多时候,理论分析正确,调试却不一定正确,这关键也在于调试过程是否正确。我们必须遵循一些安装调试步骤,先单个调试,然后再整体调试,先局部再整体,切不可一次安装后调试,因为这样是很难查出错误来。

总之,这次的课程设计的收获是很大的,通过这几天的努力,终于设计出“彩灯控制器”的电路。从开始的无从下手到熟悉各种芯片的功能,到尝试着设计各个单元电路,再到每个细节问题错误的改进和矫正,这里的每一步都是一个大大的提高,特别是许多的细节问题都是很棘手的。但是结果证明,只要不断地努力,不断地思考,目标是一定会实现的。

9

彩灯控制器设计

参考文献

[1] 闫石主编《数字电路技术基础》高等教育出版社2006.5

[2] 孙肖子编著《模拟电子技术基础》高等教育出版社 2006 [3] 李银华编著《电子线路设计指导》北京航空大学出版社 2005 [4] 姚福安编著《电子电路设计与实践》山东科学技术出版社 2001

[5] 康华光主编《电子技术基础.模拟部分》.第五版.--北京:高等教育出版社,2006.1: 486-542

[6] 鲁宝春等编著《电子技术基础实验》沈阳:东北大学出版社 2011.8 [7] 辽宁工业大学电子信息工程教研室编著《模拟电子技术基础学习指导》.第五版.--沈阳:东北大学出版社,2007.3:179-195

10

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

彩灯控制器·设计

目录 一.系统设计概述 (1) 1.实践要求: (1) 2.原理分析与电路方框图: (1) 二.原件选择与电路设计 (2) 1.脉冲发生电路 (2) 2.计数控制电路 (4) 3.译码驱动电路 (5) 4.储存单元 (6) 5.计数器显示电路 (7) 6.显示矩阵 (8) 三.电路的安装与测试 (8) 1.电路连接: (8) 2.电路调试: (9) 四.总结 (10) 附表1:原件清单 (11) 附表2:图片程序源码 (12) 附表3:总电路图 (14) 附表4:电路实物 (15) 参考文献 (16)

一.系统设计概述 1.实践要求: 通过对硬件编程,将图形、文字、动画存储在E2PROM中,通过计数器控制图形、文字、动画的地址,在利用显示矩阵显示出来。系统所显示的内容可反复循环,直至手动或加压清零,便可回到初始地址。 1)设计脉冲产生电路、图形控制电路和存储电路; 2)用发光二极管点阵(8×8)作为显示电路,显示内容的动面感要强。 3)图形能连续循环,图形大于64幅,图形显示间隔在20ms~2s范围内连续可调; 4)能手动和加压清零功能,有自动选画功能; 5)完成电路全部设计后,通过实验箱验证设计课题的正确性 2.原理分析与电路方框图: 通过对实验要求的解读,可知实践需要通过对EEPROM编程来控制一个8X8LED的矩阵输出存在EEPROM中的各种图形或者文字。因此就需要分别用8个地址线来分别控制点阵的行与列。本次实践中,我们使用74LS138及EEPROM来实现对行列的控制。 由于人类视觉暂留实践为20ms,因此将点阵的列设计成高频的刷新电路,行设计成低频的换面切换电路,这样就能够显示出清晰,可变的图形。显然,我们可以用译码器来控制列,刷新点阵。用EEPROM来控制行,来输出图形。 点阵中的LED灯是低电压导通,因此应该把阴极定位列,阳极定为行。 频率控制电路可以利用基于555振荡器的多谐振荡器加计数器来实现。高频频率应为400~500Hz,根据要求所知,图形间隔在20ms到2s之间,因此低频频率应为5Hz~50Hz。 在列方面,我们使用74LS161的二进制计数器来实现对译码器的控制即可; 在行方面,由于要求显示出64幅的画面,实际我们设计了80幅画面,因此我们使用一个16*5进制的计数器,用两片74LS161同步CP端且用置数法来实现,并用显示电路来显示低位、高位计数器的计数情况,地位控制每幅画面,高位控制每组画面。并用通过对高位芯片置数端,清零端的控制来实现要求中的选

花样彩灯课程设计

摘要在许多场合都可以看到彩色霓虹灯,LED彩灯由于其彩色丰富,造价低廉,控制简单等特点受到了广泛的应用。本系统是以51系列单片机AT89C51为主控器,附有LED发光二极管,用51系列单片机AT89C51来控制LED发光二极管亮灭,系统运行稳定,花样多多。 关键词彩色霓虹灯AT89C51 LED 一方案设计与论证 1.1单片机 方案一STC89C52 STC89C52是STC公司生产的一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。能为许多嵌入式控制引用系统提供高灵活、超有效的解决方案。 方案二AT89C51 AT89C51是一种带4K字节Flash存储器的低电压、高性能COMS 8位微处理器。AT89C51单片机能为很多嵌入式系统提供一种灵活性高且价廉的方案。 虽然STC89C52具有51单片机不具备的功能,单本系统操作简单,且51单片机价格低廉,所以我们选择方案二。 二硬件电路设计 2.1 主控模块 2.1.1 AT89C51引脚图

图2.1.1 AT89C51引脚图 2.1.2AT89C51引脚功能介绍 Vss:接地端。 Vcc:电源端。 XTAL1:接外部晶体的一个引脚。CHMOS单片机采用外部时钟信号时,时钟信号由此脚引入。 XTAL2:接外部晶振的一个引脚。HMOS单片机采用外部时钟信号时,外部时钟信号由此脚接入。 RST:(1)复位信号输入;(2)Vcc掉电后,此引脚可接备用电源,低功耗条件下保持内部RAM中的数据。 ALE//PROG:地址锁存允许。 /PSEN:程序锁存允许。 /EA/Vpp:EA=0,单片机只访问外部程序存储器。EA=1,单片机访问内部程序存储器。 P0口----P0口可以作为输入/输出口,在实际应用中,常作为地址/数据总线口,即低8位地址与数据线分时使用P0口。低8位地址由ALE信号的下跳沿锁存到外部地址锁存器中,而高8位地址由P2口输出。 P1口----P1口每一位都能作为可编程的输入或输出口线。 P1.0:T2引脚,定时/计数器2外部计数脉冲。 P1.1:T2EX引脚,定时/计数器2触发和方向控制。 P1.5:MOSI引脚,在系统编程数据输入。 P1.6:MISO引脚,在系统编程数据输出。 P1.7:SCK引脚,在系统编程时钟输入。 P2口----P2口可以作为输入口或输出口使用,外接存储器和I/O接口时,又作为扩展系统的地址总线,输出高8位地址,与P0口一起组成16位地址总线。对于内部无程序存储器的单片机来说,P2口一般只作为地址总线使用,而不作

基于89c51单片机控制的LED音乐彩灯控制器的设计说明

基于89c51单片机控制的LED音乐彩灯控制器的设计 随着人们对房屋的装饰需要彩灯,在许多城市可以看到彩色霓虹灯。LED彩灯由于其丰富的 灯光色彩,便宜的造价以及简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建础物已经成为一种时尚。但目前市场上各种各样的LED彩灯控制器大多数用全硬件电路实现, 电路结构复杂、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性…… 本方案提出一种基于8951 单片机的彩灯控制方案,实现对LED 彩灯的控制。本方案以8951单片机作为主控核心,在主控模块上设有3个按键和8个LED显示灯,根据需要可以 编写若干种亮灯模式,利用其部定时器TO实现一个基本单位时间为1ms的定时中断,根据 各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号,然后驱动各种颜色的灯亮或灭。与普通LED彩灯相比,具有体积小、价格低、功耗低等优点。 2 系统功能 LED彩灯用软件控制硬件的方式来控制彩灯闪烁,即彩灯控制器和管LED模块。彩灯采 用8951提供+ 5V电压工作,经过电源变换,输出直流工作电压,一方面为管LED模块提供 + 5V工作电源,另一方面为主控模块单片机系统彩灯控制器提供5V工作电源。整个系统工 作由软件程序控制运行,根据需要用户可以在LED彩灯工作时通过主控模块上的按键来设定亮灯时间和灯光闪动频率。 上电后系统经过初始化,查询是否有功能切换键按下:有,则进入设定模式状态;无,则进入默认工作状态。在设定模式状态下,可以根据个人爱好及不同场合的需要来指定调用哪种模式,并且可以改变每种模式的时间T1、频率F1参数,在工作状态下,LED彩灯控制器按照程序设定好的若干亮灯花样模式程序顺序调用往下走,开始工作,依次8个等亮完为 一个亮灯周期,然后再回主循环继续工作,同样如果想进入其它设定模式状态,只需按下功能切换键即可。整个3 种亮灯模式时间可以看作一个大周期T, 对于每一个模式编写一个独立工作子程序,其中设定了从左到右点亮LED,从右到左点亮LED,还有自己定义的LED点 亮方式。 因此在LED彩灯上电工作后,可以方便地通过主控模块上的显示器指定LED彩灯当前 工作模块,工作时间Ti ,频率Fi 等实时参数。若实际应用需要根据不同场合和时间来改变彩灯闪亮效果,可以通过主控模块上的按键来设定LED不同的闪烁频率Fi和亮灯时间Ti , 以便符合实际需要。此外如果对某一种模式感兴趣需要仔细观看该种亮灯模式, 可以让程序 循环。 硬件设计 LED彩灯系统包括,即LED彩灯控制器(8951主控模块),LED彩灯在8951外部接一个震荡时钟频率。用8951的控制器,具有按键、显示等功能,并利用8951的P0 口的8个输 出端上面焊有8个LED彩灯,使用彩灯在软件的控制下工作。 主控模块电路设计 主控模块电路如图1所示。主控模块主要设计器件有89C51, 8个LED显示器,3个开 关按键,1个稳压器(5V电压),1个外部晶振振荡器信号输出驱动,4个电阻。通过软件设 计,使单片机P0 口作为三色LED驱动信号输出口及移位时钟CLOCK!号,8951的P0为LED 的输出口。 软件设计 LED彩灯控制器最大特点在于所有亮灯模式均由软件控制完成。系统中软件可以分为主 程序和中断服务子程序。上电后在按键控制灯的闪烁方式, 通过软件来控制循环, 以一个单位实际1ms的TO定时为中断服务子程序。在这个1ms的TO定时基础上,可以根据需要来确定各种模式工作时间Ti,以及确定在各种亮灯点亮和熄灭各种状态LED灯等。整个系统软 件由程序、各种模式子程序、1ms中断服务子程序、调用循环程序组成。利用TO定时器作

彩灯课程设计报告材料

《8路彩灯控制电路》 课程设计报告 专业:计算机科学与技术 班级:一班 姓名:XX 学号: 6 同组成员: 指导教师:伟 2015年 6 月23 日

目录 一、课程设计目的 (2) 二、课程设计题目描述和要求 (2) 三、课程设计报告容 (2) 四、总结,设计体会 (9)

一、课程设计目的 1.了解数字电路设计的基本思想和方法 2.进一步掌握数字电路课程所学的理论知识 3.熟悉集中常用集成数字芯片,掌握其工作原理 二、课程设计题目描述和要求 实现彩灯控制要求设计的彩灯路数较少,且花型比较简单,因此采用74LS194移位寄存器和74LS161四进制同步加法计数器以及简单的逻辑器件来控制彩灯电路。 (一)彩灯控制器设计要求 设计制作八路彩灯控制电路,用以控制八个LED彩灯按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯按预设花型闪烁 2.LED灯按照事先设计的方式工作 (二)课程设计总体要求 1.根据设计任务,每组成员共同完成一份设计电路图 2.根据设计的电路图,两人一组利用万能板完成电路焊接,并调试成功 三、课程设计报告容 (一)原理分析 1.电路主要分为三个部分: 第一板块:实现脉冲时钟信号的产生; 第二板块实现花型的控制及节拍控制; 第三板块实现花型的演示。 2.根据实验所提供的器材,我的设计思路如下: ○!时钟信号由一个单脉冲提供; ○2花型控制电路由两片74LS161 四位二进制同步加法计数器和两个非门共同完成; ○3花型演示电路由两片74LS194移位寄存器完成,可以左移右移完成花型变

化。 3.花型控制电路设计: 花型1:8路彩灯分为上下两部分,每部分从下向上依次亮,然后从下向上依次灭,循环两次。 花型2:从两边到中间依次对称地渐亮,全亮后仍由两边到中间逐次灭,循环两次。 花型3:从中间到两边依次对称地渐亮,全亮后仍由中间到两边逐次灭,循环两次。 花型4:8路点灯分为上下两部分,每部分从上向下依次亮,然后从上向下依次灭,循环两次。 4.将两片74LS194分为低位片和高位片,再将其输出端从低位到高位记为L1~L8,所得四种花型的L1~L8状态值变化情况如下表: 四种花型每种显示两边,1~16显示第一个花型,17~32显示第二个花型,33~48显示第三个花型。 5.要用194芯片实现四个花型的连续显示必须对两片194的S1和S0,SL和SR 的每一节拍变化进行相应的改变。两片161的输出端从Q0~Q7根据变化的花型的频率选用高位片的Q4和Q5分别去控制194的S1和S0。它们的SR和SL则有低位161片的Q2经过非门来控制,这样就可以实现对花型变化周期的控制。各花型和其对应的194的S1、S0、SR、SL的输出信号及节拍控制信号列表如下:

节日彩灯控制器设计

石家庄铁道大学四方学院 集中实践报告书 课题名称 节日彩灯控制器设计 姓 名 吴春慧 学 号 20137762 系、 部 电气工程系 专业班级 方1353-2 指导教师 牛晓燕 2016 年 7 月 6 日 ※※※※※※※※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※※※※ ※ 2013级 单片机接口设计课程设计

一、设计任务及要求: 设计任务: 设计一个节日彩灯控制器,通过按钮控制彩灯的各种变化。 设计要求: 1.设计4个按键S0、S1、S2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2.由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED 的I/O口送出低电平,可实现题目要求的功能。 3.要求做出实物。 二、指导教师评语: 三、成绩 指导教师签名: 年月日

目录 第1章设计目的 (2) 第2章设计要求 (2) 第3章硬件电路设计 (2) 3.1 系统结构框图 (2) 3.2 STC89C52单片机 (3) 3.3 总电路图 (4) 第4章软件设计 (5) 4.1 主程序设计 (5) 4.2 LED灯子程序设计 (7) 4.2 延时子程序设计 (7) 4.3 源程序 (8) 第5章结论 (10) 参考文献 (11)

第1章设计目的 1、掌握单片机实际系统的开发步骤。 2、熟悉节日彩灯控制器的工作原理。 3、加深对单片机汇编语言的认识和理解,并会编程。 第2章设计要求 1、设计4个按键S0、S1、S 2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2、由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED的I/O口送出低电平,可实现题目要求的功能。 3、要求做出实物。 第3章硬件电路设计 3.1 系统结构框图 节日彩灯控制系统主要由单片机、LED显示器、开关及电源组成。其结构框图如图3-1所示。 八个LED灯显示器 开关单片机最小系统5V电源 图3-1系统结构框图

PLC彩灯控制课程设计

P L C彩灯控制课程设计 The Standardization Office was revised on the afternoon of December 13, 2020

基于P L C 的彩灯控制

目录 课程设计任务书 (1) 引言 (2) 一 PLC的定义及发展 (3) 二系统分配 (6) 硬件分配图 (6) 软件分配图 (7) 三方案设计 (8) 顺序工程图 (8) 程序运行步骤 (9) 四总结 (10) 参考文献 (11)

基于PLC的彩灯控制 一、控制要求: 系统启动后,L1、L5同时亮,然后熄灭;之后L2、L8同时亮,然后熄灭;接着L3、L7同时亮,然后熄灭;最后L4、L6同时亮,然后熄灭,按照此方式循环5次后再逆向闪烁5次,并循环。 二、设计任务 1.设计出硬件系统的结构图、接线图; 2.系统有启动、停止功能; 3.运用功能指令进行PLC控制程序设计; 4.程序结构与控制功能自行创新设计; 5.进行系统调试,实现上述功能。

引言 随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字电路则不能胜任。针对PLC日益得到广泛应用的现状,本文介绍PLC在不同变化类型的彩灯控制中的应用,灯的亮灭、闪烁时间 及流动 方向的控制均通过PLC来达到控制要求。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过PLC控制。流水灯负载变化频率高,变换速度快,使人有眼花缭乱之感,分为多灯流动、单灯流动等情形。变幻灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化,但频率不高。流水灯及变幻灯均适宜采用PLC控制。 关键词:PLC 循环控制

音乐彩灯控制器课程设计

1. 引言 音乐彩灯控制器是用音乐信号控制多组颜色的灯泡,利用其亮度变化来反映音乐信号,一种将听信号转化为视信号的装置。该控制器具有渲染气氛的作用,增强人们欣赏能力。 2 设计任务与要求: 2.1任务: 1. 设计一种组合式彩灯控制电路,该电路由不同控制方法的彩灯所组成, 采用不同颜色的发光二极管作课题。 2.第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 3.第二按音律的强弱(信号幅度大小)控制彩灯。强音时,灯的亮度加大, 且被点亮的数目增多。 4.第三路按音调高低(信号频率高低)控制彩灯。低音时,某一部分灯点 亮;高音时,另一部分点亮。 2.2要求: 1、综合运用电子技术课程中所学的理论知识完成课程设计。 2、通过查阅手册和文献资料,提高独立分析和解决实际问题的能力。 3、熟悉常用电子器件的类型和特征,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能。 5、进一步熟悉电子仪器的正确使用。 3 设计思路: 根据课题要求,可将控制器分为三部分来实现: (1)由于音乐的节奏是具有一定时间间隔的节拍脉冲信号。因此,可采用计数、译码驱动电路构成节拍脉冲信号发生器,使相应的彩灯按节奏点亮和熄灭。(2)将声音信号变成电信号,经过放大、整流滤波,来点亮彩灯,以实现声音信号强弱的控制。 (3)采用高、低通有源滤波电路来实现高、低音对彩灯的控制。 4 设计原理:

如图2.1所示,控制器包括声、电转换和放大电路、时钟脉冲发生器、记数电路和控制电路等。它控制四路彩灯伴随乐曲而闪烁发光。 拾音话筒控制MIC将乐曲声响转变为电信号,经VT1~VT4加至四路模拟开关IC3(CD4066)。555和RP1、R1、R2、D1、C1等组成无稳态多谐振荡器 t(通)=0.693(RP1+R1)C1 t(放)=0.693R2C1 T=0.693(RP1+R1+R2)C1 图示参数的振荡周期T在0.5~5秒范围内变化。555的3脚输出加至IC2作为CP脉冲.IC2采用CMOS型十进制计数器/脉冲分配器CD4017,在时钟CP作用下,Q0(3脚),Q1(2脚),Q2(4脚),Q3(7脚),Q4(10脚)相继出现高电平脉冲,而Q4加至复位端R(15脚),使电路成为一个环形计数电路。Q0~Q3的初期依次将CD4066四模拟开关选通,使乐曲信号依次加至VT5,VT6,VT7,VT8,并依次导通,SCR1~SCR4依次触发导通,彩灯插座依次有电,彩灯顺序点亮,随着悠扬的音乐,彩灯闪烁生辉。 图2.1 音乐彩灯控制电路

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

彩灯控制器设计

彩灯控制器设计 摘要 一、系统设计要求 设计一个控制电路来实现8路彩灯按照一定的次序和时间间隔闪烁。具体要求如下: 1、当控制开关为0时,灯全灭;当控制开关为1时,从第一盏开始,依次点亮,时间间隔为1秒。期间一直保持只有一盏灯亮、其他灯全灭的状态。 2、 8盏灯依次亮完后,从第8盏开始依次灭,期间一直保持只有一盏灯灭、其他灯全亮的状态。 3、当8盏灯依次灭完后,8盏灯同时亮同时灭,其时间间隔为0.5秒,并重复4次。 4、只要控制开关为1,上述亮灯次序不断重复。 5、用层次化设计方法设计该电路,编写各个功能模块的程序。 6、仿真各功能模块,通过观察有关波形确认电路设计是否正确。 7、完成电路设计后,用实验系统下载验证设计的正确性。 二、系统总体结构 系统框图如下所示, ENA为控制开关,输入信号为2Hz,经过分频器分频之后产生一个1Hz的时钟信号,用两个12位内部信号的左、右移后的中间8位来控制8个灯的亮与灭。计数器1和计数器2的作用:一方面用2Hz和1Hz的时钟信号控制灯亮、灭的时间间隔;另一方面控制八盏灯的依次亮、依次灭和全亮、全灭。 使能信号ENA为无效电平时(低电平),8只LED灯保持全灭的状态;当使能信号ENA为有效电平时(高电平),8只按照既定的花型进行变换,首先2Hz的时钟信号在分频器的左右下改变为1Hz的时钟信号,该1Hz的时钟信号送至计数器2、左移和右移模块,并由计数器2实现左、右移模块的选择。分别实现8只LED灯的自左向右依次点亮,以及自右向左依次熄灭的花型变换;当完成自右向左的花型变换后,计数器2给出一个控制信号给计数器1,执行全亮全灭的花型变换,该模块的时钟信号是未经分频器分频的原始时钟信号2Hz。只要使能信号有效,那么该系统就按照以上的花型变换顺序一直永序的变换下去。 三、各功能模块

音乐彩灯控制器(完整版)

摘要 电力电子技术产业作为当代高新技术尤其是信息技术产业与传统产业的接口,在国民经济中扮演着越来越重要的角色。此设计论述了彩灯的总体控制,彩灯将会随着音乐的节奏闪亮,大大的改善了人们的娱乐环境,人们将在音乐和灯光当中消除工作一天的疲惫,并且彩灯的控制不需要人为的操作控制,将会完全自动的运行,使人们感受到了娱乐场所的智能化,人性化。此设计采用了平时常用的集成电路,包括时钟电路、阶梯波电路、滤波器等等,将会很清晰的呈现出它的工作原理,它是电子技术的实例应用。关键词:电子技术音乐彩灯集成电路。II Abstract As the joint between the present hi-Tech industry especially the informationtechnology industry and tradition industry, power electronics industry has been playing amore and more important role in the country economy. Although this industry in Chinahas made some progress through developing more than 30 years, compared with thedeveloped countries the gap is still quite big. This industry can"t meet the demands of thecountry economy development in china. Constituting a series of systemic and scientificdeveloping strategy to speed this industry is the purpose of this paper. The importanteffects of this industry on country economy are discussed, the shaping and developingcondition of this industry is analyzed, and the advantages and disadvantages of thisindustry in China are pointed in this paper. On the basis of referring the advancedexperience of developed countries. keyword: electronic technique music illumination unicircuit

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

多路彩灯控制器的设计

多路彩灯控制器的设计 一课程设计题目(与实习目的) (1)题目:多路彩灯控制器 (2)实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事的工作态度。 5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。二任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟; 4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。 (2)课程设计的总体要求 1.设计电路实现题目要求;

2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示; 第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。 并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: (2)总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相

音乐彩灯控制器设计-课程设计(1)

课程设计说明书题目:音乐彩灯控制器 院(部):理学院 专业班级:应用物理14-1 学号: 2014305070 学生姓名:王伟洲 指导教师:李学超

安徽理工大学课程设计(论文)任务书理学院(部)物理系

前言 随着现在社会的发展,人们生活水平的提高,人们对娱乐环境的要求越来越高,娱乐环境中的灯光控制,成了一个重要的部分。为此,特意设计了关于音乐彩灯的控制。本设计要求将输入音乐信号分为高,中,低三个频段,并且分别控制三种颜色的彩灯.每组彩灯的亮度随各自输入音乐信号大小分为八个等级.输入信号最大时,彩灯最亮.当输入信号的幅度小于10mv时,要求彩灯全亮。

第一部分:实验原理部分 (一).设计框图及电路系统概述 设计框图: 电路系统概述: 1.声音信号要分为三个频段,所以第一步要通过滤波器进行滤波,将音频信号按要求分为三个频段。 2.经过放大器把毫伏级的声音信号放大为与比较信号可比的信号。由于直流信号才可比较,所以在进入比较器前先进行整流。 3.同步脉冲通过简易的数模转换产生阶梯波,放大后的信号与其比较产生高低电平,再和同步脉冲相与产生个数不同的脉冲去触发三极管,由触发脉冲的个数决定彩灯的亮度。 4.如果音乐信号小于10mV,用比较器产生高电平使或门的输出总为高电平,产生的高电平与1HZ的脉冲信号进行与,从而使灯亮暗闪烁。 (二).实验电路结构与分块电路原理 由本实验设计要求可将试验电路基本分为七个组成部分,即 1.电压转换部分 2.语音信号的输入部分 3.基本信号的放大部分 4,滤波选频部分(核心) 5.幅度控制部分 6 .输出显示部分 7.10毫伏比较扩展部分 第三部分:各单元电路的设计方案及原理说明 下面分别从以上几个分块电路说明该彩灯控制器的设计原理与过程. 1.电源电路: 由于实验给出电源为220V交流电,而实验所需芯片的工作电压大致在5-12V,故需要首先设计一个电压转换部分,将220V的交流电转换成5V,12V,相当于一个直流稳压源,以供数字和模拟芯片正常工作。其转换电路如下所示:

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

彩灯控制器的设计电子课程设计

彩灯控制器的设计 一.内容提要: 随着社会的发展,街道、商场或公共场所通常都装有各种五彩斑斓的灯饰,这些霓虹灯既可以美化人们的生活空间,也给这个社会增添了不少色彩。特别是每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。本次设计主要阐述了由电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。即由电子电路实现一个可循环效果的彩灯控制器。 本设计主要讲述了彩灯控制器的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。 二设计主要要求及指标 1、控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→ 黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。 2、彩灯白天不亮,夜晚自动亮。 3、“流水”的速度由快到慢,再由慢到快循环变化。 三、设计提示 原理框图如图1所示 1、彩灯的亮灭共有七种情况,可设计一个七进制的计数器,用计数器的状态控 制彩灯的亮灭;计数器应能够自启动。 2、“流水”的速度的控制可用两片555定时器电路实现。两片555接成振荡频 率不同的多谐振荡器,用频率低的振荡器上积分电容的三角波信号,调制另一个频率高的振荡器的振荡频率,使其高低往复变化。 3、用光敏器件(光敏电阻、光敏二极管、光敏二极管等)检测周围环境的光强, 以区分白天、夜夜,控制彩灯的亮灭。

图1 彩灯控制器框图 四.设计思路 根据题目要求,整体结构为脉冲信号源输出一定频率的脉冲给七进制计数器,七进制计数器受脉冲控制输出Q 1、Q 2、Q 0的不同状态,从而控制逻辑电路,逻辑电路输出控制彩灯的亮灭,达到要求。 根据所学内容,可分别确定所需元件,脉冲信号源有很多种,但要频率可控,可采用CB555定时器组成的多谐振荡器和滑动变阻器完成,多谐振荡器是常用的一种矩形波发生器,滑动变阻器通过改变其内部电阻来改变其输出矩形波的频率。七进制计数器可通过74LS160型同步十进制计数器改接而得。逻辑电路可使用74LS138型3位二进制译码器控制彩灯。 光敏器件检测电路 脉冲发生 七进制计数逻 辑 电 路 红 黄 绿 Q2 Q1 Q0 D CP 加减控制 循环结束结束判别 时钟快慢 控制

流水灯课程设计(免费)..

数字电子技术课程设计报告 (彩灯控制器) 专 专业:电子信息工程 班级:7B1211 学号:123025 姓名:白旭飞 年月:2014-6-28

一、设计要求 1. 以8或10个指示灯作为显示器件,能自动的从左到右、从右到左自动的依次被点亮,如此周而复始,不断循环。 2.打开电源时控制器可自动清零,每个指示灯被点亮的时间相同约为0.5S~2S 范围内。 3.用计算机画出设计电路图,进行仿真分析验证其正确性。 4.写设计说明书一份(画总原理框图以及说明主要工作原理,单元电路的设计和元器件的选择,画出完整的电路图和元器件明细表,收获、体会及建议) 二、设计的作用,目的 1.作用 利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可获得良好的观赏效果,且可以省电(与彩灯全亮相比)。 2.目的 用NE555芯片,74LS151芯片,74LS163芯片,74LS194,以及一些逻辑门芯片完成彩灯控制器。 三、设计的具体实现 1.系统概述 接通电源时,555占空比可调振荡器产生1s单位的脉冲,脉冲送到下一个模块74LS151计数器,目的实现模5计数器,达到每五秒生成一个脉冲输向下一个芯片74LS194移位寄存器以及计数器74LS163。进而彩灯在脉冲的作用下依次点亮,并实现循环,完成实验要求。 2.总体思路 先用555定时器用来生成1s标准单位cp脉冲,把脉冲给计数器74LS151,通过74LS151形成模5加法计数器,再将74LS151输出信号供给74LS194移位寄 存器输入端,Q 0,Q 1, Q 2 和Q 3 接彩灯然后连接几个逻辑门,把74LS194接成环形 计数器。就能实现基本电路要求。 3.方案设计 总体电路共分三大块。第一块实现时钟信号的产生;第二块实现灯亮灭情况的演示;第三块实现灯亮灭的控制及节拍控制。

相关文档
最新文档