常见组合逻辑电路

常见组合逻辑电路
常见组合逻辑电路

12- 1 第十章第一节 常见的组合逻辑电路 共4页

《脉冲与数字电路》课程教案

第一节 常见的组合逻辑电路

掌握简单组合逻辑门电路符号和输入/输出关系; 理解加法器、比较器、编码器和译码

器的输入/输出关系。

与非、或非、与或非及异或门电路符号及对应的逻辑函数关系 其它组合逻辑电路的分析

方法

课 型:讲解

教学方法:用图示法表现组合逻辑门电路同基本逻辑门电路之间的联系;用真值表说明 组合逻辑电路

的功能。

教 具:组合逻辑电路教学挂图

时间分配:导入5分,组合逻辑门电路 30分,其它组合逻辑电路 50分(其中,加法器

10分,比较器15分,编码器10分,译码器15分),小结与作业布置 5分。

教学进程:

V 导入〉复习:

(提问)1、什么是门电路?常用的基本逻辑门电路有哪几种?

2 、什么是正逻辑和负逻辑?

(引言)用门电路可以组成各种复杂的逻辑电路来模拟不同的逻辑函数关系,这些逻辑电 路分成两大类:组合逻辑电路和时序逻辑电路。

概述:什么是组合逻辑电路?

电路的输出只与该时刻的输入信号有关,而与电路原来的状态无关; 组合逻辑电路由逻辑门电路组成,且不含任何形式的信号回授(即反馈) 基本逻辑门电路就是最基本的组合逻辑电路。

第一节 常见的组合逻辑电路

一、简单组合逻辑门电路

概述:有与非门、或非门、与或非门和异或门等。

1. 与非门电路

电路符号: 逻辑函数:F = AB 真值表:(略) 2. 或非门电路

序号:12 教学内容: 第十章组合逻辑电路

V 正课>

第十章组合逻辑电路

目的与要求: 重点与难点: 1

F

A

B

电路符号:

逻辑函数:F= A + B

真值表:(略)

3.与或非门电路A B

电路符号:

逻辑函数:F= AB + CD

4.异或门电路

电路符号:

A

逻辑函数:F= AB + AB = A ? B (推导逻辑关系)真值表:(略,强调其异或的含义)

二、其它组合逻辑电路

1?加法器

加法器的基础是一位加法器,一位加法器有半加和全加两种。

(1)半加器只实现本位相加(不计算低位向本位的进位,高位进位)

由真值表可知,异或门就能完成半加器功能。

(2)全加器实现本位和低位进位三者相加,并向高位进位(即有

三个输入端,两个输出端)

全加器真值表:

全加器本位和Si和进位G的逻辑表达式:

S i = C i-1 ①(A j ① B j)

C i = A i B i + C i-1(A i ? B i)

电路实现:S i由两个异或门组成,C i由一个异或门、一个与或非门和一个非门组成。(学生练习)半加器真值表

也不向0

1

1 0

1

1

1

1

全加器的逻辑符号:

C i-1

Ai

-

B i-

FA

C i

C i-1 A i B i S i C i

0 0 0 0 0

0 0 1 1 0

0 1 0 1 0

0 1 1 0 1

1 0 0 1 0

1 0 1 0 1

1 1 0 0 1

1 1 1 1 1

全加器真值表

2.比较器

(1)一位同比较器只判断两个一位二进制数是否相等的逻辑电路, 它是多位比较器的

> 1

基础。

一位同比较器的真值表:相等时为

1,不等时为0。正好同异或门相

3. 编码器

一种多输入端和多输出端的组合逻辑电路。作用是把要传送的信息编制成二进制码信 号。其电路根据编码的要求不同而不同。

举例:将A 、B 、C 、D 四个人编成两位二进制码,即用“ 00”

“10”表示C , “11 ”表示D 。其编码真值表可以表示成:

由此得到编码器的逻辑表达式: (注意B 0和B 1取“1”值项的

和)

B 0= B

+ D

由于A 、B 、 就是取值为“ 1”

有关的编码电路如右图所示。

4. 译码器

译码是编码的逆过程。

常用的译码器有二进制译码器、二-十进制译码器和显示译码 右器等。

同比较器真值表

反。

一位同比较器的逻辑表达式:

G i = A i ? B i 或G i = AB + AB

一位同比较器的电路实现:可以用异或门和非门实现,或称为异或 非门。也可用同或门电路来实现。

0 0 1 1

0 1 0 1 1 0 0 1

A B —__[

异或非门符号

(2)一位大小比较器 与同比较器相比,大小比较器有两

个输出端,分别表示 A > B 或A < B 。

一位大小比较器的真值表:

A i >

B i 时L i 为1, M i 为0;

A i <

B i 时 L i 为 0, M i 为 1 ; A i = B i 时 L i 和 M i 均为 0。

一位大小比较器的逻辑表达式: 一位大小比较器的电路实现:

L

i = A i B i , M

i = A

i B i

A i

B i L i (B i

小)

M i (B i

0 0 0

0 0 1 0 1 1 0 1 0 1 1 0 0

相当于在异或门中去掉了右面的或门。

B 1 B 0 A 0 0 B 0 1

C 1 0 D

1

1

B 1=

C + D

C 、

D 中只有一个且必有一个取值为“

1”,得到的

项的编码。

E

? 1

> 1

A B CD

A

B

F 大小比较器真值表

L i

M i

表示A , “ 01”表示B ,

B o

按二进制数的大小把二进制码译成有序的对应状态,称为二进制译码。如上例中将二 进制编码B 1B 0译成对应的A 、B 、C 、D 的取值状态,就属于二进制译码。

上例中的译码真值表:

A =

B 1B 0 B = B 1B 0

C = B 1B 0

D = B i B o

有关的译码电路:

学生练习:当 B i B o = 00, B I B 0= 01, B I B O = 10 和 B i B o =11时,

A 、

B 、

C 、

D 端的输出分别为什么电平?

课外作业:

P178 9-7,9-8

追记:

A

B C D B 0

0 1 0 1 B 1 0

1

1

小结:组合逻辑电路是一种输出只与该时刻的输入信号有关, 辑电路。最简单的组合逻辑电路就是各种逻辑门电路。 门、或非门、与或非门和异或门。

常见的组合逻辑电路有加法器、比较器、编码器和译码器。可以由真值表推出其表 达式,再得到逻辑电路图。

器、

而与电路原来状态无关的逻

除基本逻辑门电路外,

还有与非

A

D

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

第五章组合逻辑电路典型例题分析

第五章 组合逻辑电路典型例题分析 第一部分:例题剖析 例1.求以下电路的输出表达式: 解: 例2.由3线-8线译码器T4138构成的电路如图所示,请写出输出函数式. 解: Y = AC BC ABC = AC +BC + ABC = C(AB) +CAB = C (AB) T4138的功能表 & & Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7 “1” T4138 A B C A 2A 1A 0Ya Yb S 1 S 2 S 30 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 S 1S 2S 31 0 01 0 01 0 01 0 01 0 01 0 01 0 01 0 0 A 2A 1A 0Y 0Y 1Y 2Y 3Y 4Y 5Y 6Y 70 1 1 1 1 1 1 11 0 1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 0

例3.分析如图电路,写出输出函数Z的表达式。CC4512为八选一数据选择器。 解: 例4.某组合逻辑电路的真值表如下,试用最少数目的反相器和与非门实现电路。(表中未出现的输入变量状态组合可作为约束项) CC4512的功能表 A ? DIS INH 2A 1A 0Y 1 ?0 1 0 0 0 00 00 00 0 0 0 0 00 0 ?????0 0 00 0 10 1 00 1 11 0 0 1 0 11 1 01 1 1 高阻态  0D 0D 1D 2D 3D 4D 5D 6D 7 Z CC4512 A 0A 1A 2 D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 DIS INH D 1 D A B C D Y 0 0 0 0 1 0 0 0 1 00 0 1 0 10 0 1 1 00 1 0 0 0 CD AB 00 01 11 1000 1 0 0 101 0 1 0 1 11 × × × ×10 0 1 × × A B 第一步画卡诺图第三步画逻辑电路图

第六章-几种常用的组合逻辑电路试题及答案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

组合逻辑电路教案

第8章组合逻辑电路 【课题】 8.1概述 【教学目的】 了解组合逻辑电路和时序逻辑电路的电路结构特点及功能特点。 【教学重点】 1.数字逻辑电路的分类和特点。 2.常用的组合逻辑电路种类。 3.会区分数字逻辑电路的类型。 【教学难点】 区分数字逻辑电路的类型。 【教学方法】 讲授法 【参考教学课时】 1课时 【教学过程】 一、复习提问 1.基本逻辑门电路有哪几种,它们的逻辑功能是什么? 2.画出与非门逻辑符号并说明其逻辑功能。 二、新授内容 1.组合逻辑电路 (1)特点:数字逻辑电路中输出信号没有反馈到输入端,因此任意时刻的输出信号状态只与当前的输入信号状态有关,而与电路原来的输出状态无关。 (2)电路组成框图:教材图8.1。 2.时序逻辑电路 (1)特点:数字逻辑电路中输出信号部分反馈到输入端,输出信号的状态不但与当前的输入信号状态有关,而且与电路原来的输出状态有关。因此,这种电路有记忆功能。 (2)电路组成框图:教材图8.2。 三、课堂小结 1.组合逻辑电路的特点。

2.时序逻辑电路的特点。 四、课堂思考 P176思考与练习题。 五、课后练习 对逻辑代数作重点复习并预习下节课的内容(8.2组合逻辑电路的分析)。 【课题】 8.2组合逻辑电路的分析 【教学目的】 掌握组合逻辑电路的分析方法和步骤。 【教学重点】 1.组合逻辑电路的分析方法和步骤。 2.会对给定的组合逻辑电路进行功能分析。 【教学难点】 对给定的组合逻辑电路作功能说明,并用文字描述。 【教学方法】 讲授法、练习法 【参考教学课时】 1课时 【教学过程】 一、复习提问 公式化简,用练习的方式进行。 二、新授内容 1.组合逻辑电路的分析步骤。 (1)根据给定的逻辑电路图,推导输出端的逻辑表达式。 (2)化简和变换 (3)列真值表 (4)分析说明 2.组合逻辑电路的分析举例 (1)老师举例讲解 (2)老师举例,学生讨论分析 例1 已知逻辑电路如图8.1所示,试分析其逻辑功能,要求写出分析过程。

数字逻辑电路的用途和特点

数字逻辑电路的用途和特点 数字电子电路中的后起之秀是数字逻辑电路。把它叫做数字电路是因为电路中传递的虽然也是脉冲,但这些脉冲是用来表示二进制数码的,例如用高电平表示“ 1 ”,低电平表示“ 0 ”。声音图像文字等信息经过数字化处理后变成了一串串电脉冲,它们被称为数字信号。能处理数字信号的电路就称为数字电路。 这种电路同时又被叫做逻辑电路,那是因为电路中的“ 1 ”和“ 0 ”还具有逻辑意义,例如逻辑“ 1 ”和逻辑“ 0 ”可以分别表示电路的接通和断开、事件的是和否、逻辑推理的真和假等等。电路的输出和输入之间是一种逻辑关系。这种电路除了能进行二进制算术运算外还能完成逻辑运算和具有逻辑推理能力,所以才把它叫做逻辑电路。 由于数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 数字逻辑电路的第一个特点是为了突出“逻辑”两个字,使用的是独特的图形符号。数字逻辑电路中有门电路和触发器两种基本单元电路,它们都是以晶体管和电阻等元件组成的,但在逻辑电路中我们只用几个简化了的图形符号去表示它们,而不画出它们的具体电路,也不管它们使用多高电压,是TTL 电路还是CMOS 电路等等。按逻辑功能要求把这些图形符号组合起来画成的图就是逻辑电路图,它完全不同于一般的放大振荡或脉冲电路图。 数字电路中有关信息是包含在0 和1 的数字组合内的,所以只要电路能明显地区分开0 和 1 ,0 和 1 的组合关系没有破坏就行,脉冲波形的好坏我们是不大理会的。所以数字逻辑电路的第二个特点是我们主要关心它能完成什么样的逻辑功能,较少考虑它的电气参数性能等问题。也因为这个原因,数字逻辑电路中使用了一些特殊的表达方法如真值表、特征方程等,还使用一些特殊的分析工具如逻辑代数、卡诺图等等,这些也都与放大振荡电路不同。 门电路和触发器 (1 )门电路 门电路可以看成是数字逻辑电路中最简单的元件。目前有大量集成化产品可供选用。

第5章 典型组合逻辑电路

I I 4 5 7 I 1 6 2 I I I 0 3 I I I 9 8 I 图 P5.7 图P5.8 第5章 组合逻辑电路应用 习题5 5.1 设计一个10线-4线编码器,输出为8421BCD 码。 5.2 试用2片8线-3线优先编码器74148,设计一个10线-4线优先编码器。连接时允许附加必要的门电路。 5.3 试分析图P5.3所示电路的功能(74148为8线-3线优先编码器)。 5.4 分析图P5.4所示电路的功能。 5.5 用2片3线-8线译码器74138,组成4线-16线译码器。 5.6 某一个8421BCD 码七段荧光数码管译码电路的e 段部分出了故障,为使数码管能正确地显示0~9十种状态,现要求单独设计一个用与非门组成的e 段译码器。已知共阳极数码管如图P5.6所示。 5.7 分析图P5.7所示电路的功能(74148为8线-3线优先编码器)。 5.8 画出用两片4线-16线译码器74154组成5线-32译码器的接线图。图P5.8是74154的符号,S A 和S B 是两个控制端(亦称片选端),译码器工作时应使S A 和S B 同时为低电平,当输入信号A 3A 2A 1A 0为0000到1111共16种状态时,输出端从Y 0到Y 15依次给出低电平输出信号。 图P5. 4 图P5.6 图P5.3

5.9 设计一个编码转换器,将三位2进制码转换为循环码。 5.10 某医院的某层有6个病房和一个大夫值班室,每个病房有一个按扭,在大夫值班室有一个优先编码器电路,该电路可以用数码管显示病房的编码。各个房间按病人病情严重程度不同分类,1号房间病人病情最重,病情按房间号依次降低,6号房间病情最轻。试设计一个呼叫装置,该装置按病人的病情严重程度呼叫大夫,若两个或两个以上的病人同时呼叫大夫,则只显示病情最重病人的呼叫。 5.11 设计一个电话机信号控制电路。电路有I 0(火警)、I 1(盗警)和I 2(日常业务)三种输入信号,通过排队电路分别从Y 0、Y 1、Y 2输出,在同一时间只能有一个信号通过。如果同时有两个以上信号出现时,应首先接通火警信号,其次为盗警信号,最后是日常业务信号。试按照上述轻重缓急设计该信号控制电路。要求用集成门电路7400(每片含4个2输入端与非门)实现。 5.12 试用一片3线-8线译码器T3138,实现下列逻辑函数(可使用必要的门电路): (1)B A L =1 (2)B A AB L +=2 (3)C B A L ⊕⊕=3 5.13 用4路数据选择器实现下列函数: (1) ∑=)5,4,2,0(),,(1m C B A L (2) ∑= )7,5,3,1(),,(2m C B A L (3)∑=)7,5,2,0(),,(3m C B A L (4)∏= )3,2,0(),,(4M C B A L 5.14 用8路数据选择器实现下列函数: (1) ∑=)15,13,10,8,7,5,2,0(),,,(1m D C B A L (2) ∑= )12,10,9,5,4,3,0(),,,(2m D C B A L (3) C B AB C B A L +=),,(3 5.15 将四选一数据选择器,扩展为16选一数据选择器。 5.16 用3线-8线译码器74138和8选1数据选择器74151和少量与非门实现组合逻辑电路。当控制变量C 2C 1C 0=000时,F=0;C 2C 1C 0=001时,F=ABC ;C 2C 1C 0=010时,F=A+B+C ;C 2C 1C 0=011时,F=ABC ;C 2C 1C 0=100时,F=C B A ++;C 2C 1C 0=101时,F=C B A ⊕⊕;C 2C 1C 0=110时,F=AB+AC+BC ;C 2C 1C 0=111时,F=1。画出电路图。 5.17 分析图P5.17所示电路的工作原理,说明电路的功能。

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

04第四章 组合逻辑电路.

教案

第四章 组合逻辑电路 ▲4.1 概述 1.逻辑电路的分类 (1)组合逻辑电路(简称组合电路); (2)时序逻辑电路(简称时序电路)。 2、组合逻辑电路的特点 (1)功能特点:任一时刻的输出状态仅仅取决于同一时刻的输入状态,而与前 一时刻的状态无关。 (2)结构特点:不包含记忆单元,即存储单元。 3、组合逻辑电路的描述 如图所示: 用一组逻辑函数表示为: 4.2组合逻辑电路的分析和设计方法 一、 分析方法 分析就是已知电路的逻辑图,分析电路的逻辑功能。 分析步骤如下: (1)根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式。 (2)利用公式法或卡诺图法化简逻辑函数表达式(最简与或表达式)。 (3)列真值表。 (4)确定其逻辑功能。 例1、分析下图组合逻辑电路的功能。 解 (1)AC BC AB Y ??= (2)化简:Y=AB+BC+AC & A B B C A C Y && &组合逻辑电路 … …X 1X 2 X n Y 1Y 2 Y m 输入信号 输出信号 .. . )X X X (f Y ) X X X (f Y )X X X (f Y n 21n n n 2122n 2111???=???=???=、、、、、、

(3)列真值表: (4)由真值表知: 若输入两个或者两个以上的1,输出Y 为1。 功能:在实际应用中可作为多数表决电路使用。 练习:分析如图所示组合逻辑电路的功能。 ▲二、设计方法 设计就是已知实际逻辑问题,设计实现该功能的最简电路。 设计步骤如下: (1)根据实际逻辑问题进行逻辑抽象,即确定输入、输出变量的个数, 并对 它们进行逻辑赋值(即确定0和1代表的含义)。 (2)根据逻辑功能列出真值表,求出逻辑函数表达式。 (3)选定逻辑器件。 1、若选用SSI (小规模门电路),则化简函数表达式,画出实现电路; 2、若选用MSI (中规模门电路),则变换函数表达式形式,画出实现电路。 例2、有三个班学生上自习,大教室能容纳两个班学生,小教室能容纳一个班学生。设计两个教室是否开灯的逻辑控制电路,用SSI 门电路实现。要求如下: (1)一个班学生上自习, 开小教室的灯。 (2)两个班上自习, 开大教室的灯。 (3)三个班上自习, 两教室均开灯。 解:(1)逻辑抽象: 设输入变量A、B、C分别表示三个班学生是否上自习, 1表示上自习, 0表示不上自习; 输出变量Y、 F 分别表示大教室、小教室的灯是否亮, 1表示亮, 0表示灭。 (2)列真值表: A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 & & & & A B Y 1 Y 2 Y 3 Y

组合逻辑电路基本概念复习考试题

组合逻辑电路基本概念复习题 填空 1.消除或减弱组合电路中的竞争冒险,常用的方法是发现并消掉互补变量,增加__________,并在输出端并联 。冗余项、电容器 2.要扩展得到1个16-4线编码器,需要 片74LS148。2 3.在组合逻辑电路中,当一个输入信号经过多条路径传递后到达某一逻辑门的输入端时,会有时间先后,这一现象称为_________,由此而产生输出干扰脉冲的现象称为 。 竞争、冒险 4.所谓组合逻辑电路是指:在任何时刻,逻辑电路的输出状态只取决于电路各 的组合,而与电路的 无关。输入状态、原来的状态 5.组合逻辑电路由逻辑门电路组成,不包含任何 ,没有 能力。 记忆元件、记忆 6.常见的中规模组合逻辑器件有 和 等。 编码器、译码器、数据选择器、数值比较器、加法器任选二个。 7.加法器是一种最基本的算术运算电路,其中的半加器是只考虑本位两个二进制数进行相加不考虑 的加法器。低位向本位的进位 8.全半加器既要考虑本位两个二进制数进行相加,还要考虑 的加法器。 低位向本位的进位 9.用全加器组成多位二进制数加法器时,加法器的进位方式通常有、 、 2种。 串行进位、并行进位 10.基本译码器电路除了完成译码功能外,还能实现 和 功能。 逻辑函数发生、多路分配 11.多路分配器可以直接用 来实现。译码器 12.与4位串行进位加法器比较,使用超前进位全加器的目的是 。 提高运算速度 13.在分析门电路组成的组合逻辑电路时,一般需要先根据 写出逻辑表达式。 逻辑电路图 14.数据选择器的功能相当于多个输入的数据数据开关,是指经过选择,把 通道的数据传送到 的公共数据通道上去。多个、唯一 15.数据分配器的功能相当于一个多输出的数据开关,是将 数据源来的数据根据需要,送到 不同的通道上去。经过选择,把通道的数据传送到的公共数据通道上去。 一个、多个 16.加法器的超前进位级联方式,高位的运算不必等低位运算的结果,故提高了 ,但结构比较 。运算速度、复杂 17.加法器串行进位的级联方式由于结构 ,主要用在 数字设备中。 简单、低速 选择 1.比较两个一位二进制数A 和B ,当B A >时输出1=F ,则F 的表达式是(C )。 A 、A B F = B 、B A F = C 、A F = D 、A F = 2.设计加法器的超前进位是为了(B )。 A . 电路简单 B .每一级运算不需等待进位 C . 连接方便 D .使进位运算由低位到高位逐位进行

常见组合逻辑电路

12- 1 第十章第一节 常见的组合逻辑电路 共4页 《脉冲与数字电路》课程教案 第一节 常见的组合逻辑电路 掌握简单组合逻辑门电路符号和输入/输出关系; 理解加法器、比较器、编码器和译码 器的输入/输出关系。 与非、或非、与或非及异或门电路符号及对应的逻辑函数关系 其它组合逻辑电路的分析 方法 课 型:讲解 教学方法:用图示法表现组合逻辑门电路同基本逻辑门电路之间的联系;用真值表说明 组合逻辑电路 的功能。 教 具:组合逻辑电路教学挂图 时间分配:导入5分,组合逻辑门电路 30分,其它组合逻辑电路 50分(其中,加法器 10分,比较器15分,编码器10分,译码器15分),小结与作业布置 5分。 教学进程: V 导入〉复习: (提问)1、什么是门电路?常用的基本逻辑门电路有哪几种? 2 、什么是正逻辑和负逻辑? (引言)用门电路可以组成各种复杂的逻辑电路来模拟不同的逻辑函数关系,这些逻辑电 路分成两大类:组合逻辑电路和时序逻辑电路。 概述:什么是组合逻辑电路? 电路的输出只与该时刻的输入信号有关,而与电路原来的状态无关; 组合逻辑电路由逻辑门电路组成,且不含任何形式的信号回授(即反馈) 基本逻辑门电路就是最基本的组合逻辑电路。 第一节 常见的组合逻辑电路 一、简单组合逻辑门电路 概述:有与非门、或非门、与或非门和异或门等。 1. 与非门电路 电路符号: 逻辑函数:F = AB 真值表:(略) 2. 或非门电路 序号:12 教学内容: 第十章组合逻辑电路 V 正课> 第十章组合逻辑电路 目的与要求: 重点与难点: 1 F A B

电路符号: 逻辑函数:F= A + B 真值表:(略) 3.与或非门电路A B 电路符号: 逻辑函数:F= AB + CD 4.异或门电路 电路符号: A 逻辑函数:F= AB + AB = A ? B (推导逻辑关系)真值表:(略,强调其异或的含义) 二、其它组合逻辑电路 1?加法器 加法器的基础是一位加法器,一位加法器有半加和全加两种。 (1)半加器只实现本位相加(不计算低位向本位的进位,高位进位) 由真值表可知,异或门就能完成半加器功能。 (2)全加器实现本位和低位进位三者相加,并向高位进位(即有 三个输入端,两个输出端) 全加器真值表: 全加器本位和Si和进位G的逻辑表达式: S i = C i-1 ①(A j ① B j) C i = A i B i + C i-1(A i ? B i) 电路实现:S i由两个异或门组成,C i由一个异或门、一个与或非门和一个非门组成。(学生练习)半加器真值表 也不向0 1 1 0 1 1 1 1 全加器的逻辑符号: C i-1 Ai - B i- FA C i C i-1 A i B i S i C i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 全加器真值表 2.比较器 (1)一位同比较器只判断两个一位二进制数是否相等的逻辑电路, 它是多位比较器的

组合逻辑电路

第五章组合逻辑电路 内容提要 【熟悉】组合逻辑电路的特点(功能、结构) 【掌握】组合逻辑电路的一般分析方法和设计方法 【熟悉】常见的五种组合逻辑电路 【掌握】中规模集成组合逻辑电路的应用(扩展与实现组合逻辑函数) 【了解】组合逻辑电路中的竞争和险象 一.一.网上导学 二.二.本章小结 三.三.典型例题 四.四.习题答案 网上导学 一. 一.组合逻辑电路的特点:p123 功能:输出仅取决于该时刻的输入而与电路原状态无关(无记忆功能); 结构(无记忆元件,无反馈环路). 二. 二.组合逻辑电路的一般分析方法(组合逻辑电路图→求解逻 辑功能): 组合逻辑电路图→列出逻辑函数表达式(迭代法,由输入逐级向后推) →求标准表达式或简化的表达式(转换或化简) →列出相应的真 值表→判断电路功能。例5.2.1(异或门) P124 分析图5.3.3逻辑电路

1.1.迭代法求输出逻辑表达式,如图: 图中,C=B A ,D=AB,用迭代法求出电路输出逻辑表达式 F= 2.列出真值表(表5.2.1, P125) 分析真值表可知该电路是一个异或门 例2. 试分析下面电路 1.由上图可知E=AB,D=AC,G=BC,迭代法得F=E+D+G=AB+AC+BC 2. 列出相应的真值表

由真值表可以看出,该逻辑电路是一个三人多数表决电路。 三. 三. 组合逻辑电路的一般设计方法: 根据设计要求(要实现的逻辑功能)→画出逻辑电路图. 设计要求→列出真值表(确定输入、输出变量及它们的逻辑关系) →化简写出简化的逻辑表达式(→或转换成逻辑器件所需的表达形式)→画出逻辑图。 例5.3.1(多数表决器) P125。 举例:设计一个一位加法器(半加器)电路. 1. 1. 该电路有两个输入An 、Bn 和二个输出Sn 和Cn, An Bn Sn Cn 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 Sn=Bn An Bn An Bn An ⊕=+,Cn=An*Bn 3. 3. 画出逻辑图 四.组合逻辑电路中的竞争和险象:P126~P129

第六章_几种常用的组合逻辑电路试题及答案

1.(8-5中)设一位二进制半加器的被加数为A,加数为B,本位之和为S, 向高位进位为C,试根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表: 2.(8-5难)设一位二进制全加器的被加数为A i,加数为B i,本位之和为 S i,向高位进位为C i,来自低位的进位为C i-1,根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表:

3.(8-1难)分析图示逻辑电路: 1).列真值表 2).写出逻辑表达式 3).说明其逻辑功能。 =++,根据给出的4.(8-3难*)用一个74LS138译码器实现逻辑函数Y ABC ABC ABC 部分逻辑图完成逻辑图的连接。

6.(8-1难)试用2输入与非门和反向器设计一个3输入(I0、I1、I2)、3输出(L0、L1、L2)的信号排队电路。它的功能是:当输入I0为1时,无论I1和I2为1还是0,输出L0为1,L1和L2为0;当I0为0且I1为1,无论I2为1还是0,输出L1为1,其余两个输出为0;当I2为1且I0和I1均为0时,输出L2为1,其余两个输出为0。如I0、I1、I2均为0,则L0、L1、L2也均为0。 1).列真值表 2).写出逻辑表达式 3).将表达式化成与非式 4).根据与非式画出逻辑图 7.(8-1难)某个车间有红、黄两个故障指示灯,用来表示3台设备的工作情况。如一台设备出现故障,则黄灯亮;如两台设备出现故障,则红灯亮;如三态设备同时出现故障,则红灯和黄灯都亮。试用与非门和异或门设计一个能实现此要求的逻辑电路。 1).列真值表

2).写出逻辑表达式 3).根据表达式特点将其化成与非式,或者是异或式 4).根据化成的表达式画出逻辑图 9.(8-3难)请用3-8线译码器译码器和少量门器件实现逻辑函数 ()()∑=7630,,,,,m A B C F 。

最新组合逻辑电路的教案.docx

组合逻辑电路的设计 科目数字电子技术班级中二网络 1课程基本 课题组合逻辑电路的设计授课人信息 授课方式多媒体教学授课时间 2教学目的应知:学会一般组合逻辑电路的设计方法应会:组合逻辑电路的简单设计 3重点与难重点:组合逻辑电路的设计步骤 点难点:根据真值表推出逻辑表达式 1 组合逻辑电路的基本特点有那些? (1)组成 : 与门或门与非门或非门 (2)无记忆功能 , 某时刻的输出直接由该时刻电路 的输入状态所决 . 4教学回顾 2 组合逻辑电路的读图有哪几个步骤? 组合逻辑电路 ---- 逻辑表达式 -----最简式------ 真值表 ---- 分析并确定其逻辑功能 3 评析上节布置的练习题(259 页第二题) 1由于分析与设计是逆过程,所以设计方法由复习分析方法自然 引入。 教学方法 2. 设计方法是本节的难点,而组合逻辑电路的设计是基础,所以 5 说明重点讲解组合逻辑电路的设计方法。 3 .设计方法需要通过一定量的例题说明方法,最后进行归纳总结。

一、复习提问:(播放多媒体课件 ,展示问题) 问题 1:组合逻辑电路的基本特点有那些 ? 问题 2:简述组合逻辑电路的分析的方法?学生思考教师点评:(播放幻灯片 1)并回答问题的实质:逻辑函数表达式的转换。多媒体 二、引入新课: ( 播放背景音乐, 播放幻灯片 2.3) 【方法:从复习组合逻辑电路分析的概念与方法引入,介绍 组合逻辑电路设计的概念、组合逻辑电路设计与组合逻辑电路分 析的关系。】 组合逻辑电路的分析 电路表达式真值表实际功能、作用组合逻辑电路的设 计分析和设计是可逆的过程 【点评:设计是难点,也是重点,是学以致用的关键,而组 合逻辑电路的设计是组合逻辑电路设计的基础。】 三、新课讲授 ( 播放幻灯片 4.5, 由图片文字演示 ) 【方法:借助例题的分析讲解,帮助学生掌握组合逻辑电路 的设计方法,强调实际工作中的设计方法及实际工作中逻辑电路 最简的标准,透过实际工作中逻辑电路最简的概念 , 要求学生掌握电 路设计方案】 1 、设计方法设计过程 (1)分析设计要求,将实际逻辑问题变换成真值表;逐步逐步 (2)由真值表求出逻辑函数表达式;的在黑板 (3)将表达式进行化简或变换,得到最简表达式;上板书 (4)选择器件实现,画逻辑电路图。 注意:实际工作中逻辑电路最简的标准是:所用逻辑门的个 数最少,并且每个门输入端的个数也最少。为了方便,设计中还 应尽量减少门的种类。 2 、例题讲解( 播放幻灯片 6.7) 【目的:通过例 1 学会设计】 【例 1 】设计一个投票表决器 ,3 个投票人分别是 A.B.C, 投票同 意用 1 表示 , 用 0 表示不同意 , 只要两人以上同意才能通过。输 出Y=1 表示通过 ,Y=0 表示不同意。 (1 )根据逻辑要求列出真值表。

组合逻辑电路

第三章组合逻辑电路 基本知识点 *组合逻辑电路的特点 *组合逻辑电路功能的表示方法及相互转换 *组合逻辑电路的分析方法和设计方法 *常用集成组合逻辑电路的逻辑功能、使用方法和应用举例 *组合逻辑电路中的竞争–冒险现象及消除竞争–冒险现象的常用方法 3.1概述 在数字电路中根据逻辑功能的不同特点,可将其分为两大类:一类是组合逻辑电路,另一类是时序逻辑电路。 组合逻辑电路在逻辑功能上的共同特点是:任意时刻的输出状态仅取决于该时刻的输入状态,与电路原来的状态无关。在电路结构上的特点是:它是由各种门电路组成的,而且只有从输入到输出的通路,没有从输出到输入的反馈回路。由于组合逻辑电路的输出状态与电路的原来状态无关,所以组合逻辑电路是一种无记忆功能的电路。由此可知第二章中介绍的各种门电路都属于组合逻辑电路。 描述一个组合逻辑电路逻辑功能的方法很多,通常有:逻辑函数表达式、真值表、逻辑图、卡诺图、波形图五种。它们各有特点,又相互联系,还可以相互转换。 3. 2逻辑功能各种表示方法的特点及其相互转换 一、逻辑功能各种表示方法的特点 1、逻辑函数表达式 逻辑表达式是用与、或、非等基本运算来表示输入变量和输出函数因果关系的逻辑代数式。其特点是形式简单、书写方便,便于进行运算和转换。但表达式形式不唯一。 2、真值表 真值表是根据给定的逻辑问题,把输入变量的各种取值的组合和对应的输出函数值排列成表格。其特点是:直观、明了,可直接看出输入变量与输出函数各种取值之间的一一对应关系。真值表具有唯一性。 3、逻辑图 逻辑图是用若干基本逻辑符号连接成的电路图。其特点是:与实际使用的器件有着对应关系,比较接近于实际的电路,但它只反映电路的逻辑功能而不反映电气参数和性能。同一种逻辑

《数字逻辑电路》复习资料

成考复习资料 《数字逻辑电路》 复习资料1 一、逻辑函数化简 1.用代数法化简, C B A ABCDE ABC Y ++++= 2.用卡诺图法化简, ),,Σd(1,5)4,,Σm(0,Y(A,B,C,D)11,10,9321113+=, ∑d 为无关项 二、分析题 1、分析下图所示逻辑电路,列出真值表,说明其逻辑关系。 2、分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明这个电路能对何种序列进行检测? 三、设计题 1.设计一个三输入的组合逻辑电路,当输入的二进制码小于5时,输出为0,大于等于5时,输出为1。要求: (1)列出真值表; (2)写出逻辑函数的最简与或式; (3)用非门和与非门实现该电路;

(4)用74LS138实现该电路。 74LS138功能表 2、设计一个按自然顺序变化的7进制加法计数器,计数规则为逢7进位,产生一个进位输出。要求:选用边沿JK触发器设计实现该功能的同步时序逻辑电路,并画出逻辑电路图。

成考复习资料 答案 一、逻辑函数化简 1. ABC+(ABC)+(ABCDE)=1'' 2. AC AD B A Y ++= 二、分析题 1. 逻辑表达式:1Y A B CI =⊕⊕ 2((()))()Y A B CI AB A B CI AB ''=⊕+=⊕+ 由逻辑表达式计算出真值表: A B C Y Y 0 0 0 0 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 这是一个全加器电路。 2. 答:电路的状态方程和输出方程为: 状态表和状态转移图如下:

数字逻辑电路学习总结

数字逻辑电路学习总结@ 学号:、 姓名: 学院: 专业: )

数字逻辑电路学习总结 经过一学期的学习,我对数字逻辑电路这门课程总结如下: 一:数字逻辑电路绪论及基础 1.数字信号与模拟信号的区别(数值和时间的连续性与不连续性) 2.数字电路特点:电路结构简单,便于集成化;工作可靠,抗干扰能力强;信息便于长期保存和加密;产品系列全,通用性强,成本低;可进行数字运算和逻辑运算。 : 3.数制转换(二进制、八进制、十六进制、8421BCD码) 十~二:右→左,每三位构成一位八进制,不够补0 二~八:右←左,每一位构成三位二进制 八~二:右→左,每四位构成一位十六进制,不够补0 十六~二:右→左,每一位构成一位二进制 十~8421BCD:每一位组成8421BCD码 4.二进制运算(0+0=0,0+1=1,1+1=10) 5.基本逻辑门(与门、或门、非门、与非门、或非门、异或、同或) % 与门:F=ABC或门:F=A+B+C非门:F|与非门:(AB)| 或非门:F=(A+B)|异或门:F=A|B+AB|=A(+)B 同或门:F=AB+A|B|=A(*)B 6.逻辑代数基本公式及定理

7.最大项与最小项(为互补关系) | 8.逻辑函数化简(代数法和卡诺图法) 卡诺图包围圈尽量大,个数尽量小,要全部包围,包含2^n个方格二:组合逻辑电路 1.组合逻辑电路的分析与设计 任一时刻的输出只取决于同一时刻输入状态的组合,而与电路原有的状态无关的电路 分析:写出表达式,列出真值表,根据化简函数式说明逻辑功能 设计:列出真值表,写出逻辑函数,化简,画逻辑图 2.半加器与全加器的区别(考虑是否进位) ? 3.编码器(二~十进制编码器P120、优先编码器P134) 8-3优先编码器10-4优先译码器 4.译码器(二进制编码器P140、二至十进制译码器P143) 3-8译码器 5.数据选择器

组合逻辑电路组合逻辑电路

第四章 组合逻辑电路
本章目录 ?4.1 ?4.2 ?4.3 ?4.4 ?4.5 ?4.6 ?4.7 ?4.8 ?4.9
2017-8-4
概述 组合逻辑电路的分析方法 组合逻辑电路的基本设计方法 若干常用的组合逻辑电路模块 层次化和模块化的设计方法 可编程逻辑器件 硬件描述语言 用可编程通用模块设计组合逻辑电路 组合逻辑电路中的竞争-冒险
第四章 组合逻辑电路 1
§4.1 概述
数字逻辑电路分类:组合逻辑电路和时序逻辑电路。 一、组合逻辑电路的特点 组合逻辑电路逻辑功能特点:任意时刻的输出仅取决于该 时刻的输入,与电路原来的状态无关。 组合逻辑电路电路结构特点:不能包含有存储单元。 二、逻辑功能的描述
y1 = f1 (a1 , a2 ,L , an ) y2 = f 2 (a1 , a2 ,L , an ) M
组合逻辑电路的框图
2017-8-4 第四章 组合逻辑电路
ym = f m (a1 , a2 , L , an )
Y = F ( A)
2

§4.2 组合逻辑电路的分析方法
组合逻辑电路分析:给定某逻辑电路,分析其逻辑功能。
分析的步骤: (1)由所给电路写出输出端的逻辑式; (2)将所得的逻辑式进行化简; (3)由化简后的逻辑式写出输出输入的真值表; (4)由真值表分析电路的逻辑功能。 例:试分析图示电路的逻辑功能。 ABC
Y1 Y2
2017-8-4 第四章 组合逻辑电路 3
§4.3 组合逻辑电路的基本设计方法
组合逻辑电路设计:给定某逻辑问题,求出实现这一逻辑功能 的最简电路。
一、逻辑抽象 ? 分析因果关系,确定输入/输出变量 ? 定义逻辑状态的含意(逻辑状态赋值) ? 列出真值表 二、写出函数式 三、选定器件类型 四、将逻辑函数化简或转换成适当的形式 五、画出逻辑电路图 六、设计验证 七、工艺设计
2017-8-4 第四章 组合逻辑电路 4

数字逻辑电路设计,红绿灯

《数字逻辑电路设计》课程设计总结报告 题目:红绿灯控制器 指导老师:罗强 设计人员:徐才胜 学号:0121411370315 班级:电气ZY1401班 日期:2016年6月

目录 一、设计任务书 二、设计框图及整机概述 三、各单元电路的设计方案及原理说明 四、调试过程及结果分析 五、设计、安装及调试中的体会 六、对本次课程设计的意见及建议 七、附录(包括:整机逻辑电路图及元器件清单)

一、设计任务书 一、题目:红绿灯控制器 二、设计要求设计一个红绿灯控制器设计应具有以下功能 设计要求:设计一个红绿灯 (1)主道方向绿灯亮(如30s),支道方向红灯亮。 (2)主道方向黄灯亮(如5s),支道方向红灯亮。 (3)主道方向红灯亮(如20s),支道方向绿灯亮。 (4 ) 主道方向红灯亮(如5s),支道方向黄灯亮。 要求有时间显示(顺数、逆数皆可),时间自定。(大于20秒以上)可添加其他能。 实验器材:推荐使用74ls192,74ls74,cd4511,各种逻辑门芯片,数码管等常用且功能灵活的芯片。 三、给定条件 1.只能采用实验室提供的中小规模电路进行设计。(不一定是实 验用过的) 支道 主道 十字路口交通示意图

二、设计框图及整机概述 1、设计框图 2、整机概述 上图是整个交通灯电路的设计框图。首先,脉冲发生电路 (秒信号产生单元)是用来给计数芯片产生脉冲的,以便计数芯片可以正常的工 作,可以直接使用试验箱上的秒信号产生单元。红绿灯控制系统是整个电路的核心, 它控制着红绿灯的亮灭、数码管的显示以及控制计数时间,所以对于这个部分的设计是非常重要的。计数系统我们可以用计数芯片 192来实现, 采用置数或者置零的方法都可以让实现某一个时间段的计数。译码显示系统 是用来显示时间的, 它将计数系统所记的时间用数码管显示出来,以便我们可以更清楚的知道红绿灯亮灭的时间。状态计数模块可以控制整个电路的状态显示,显示主支路上的红绿灯。最后的红绿灯部分用三个红色发光二极管将我们所设计的电路功能直观的表现出来。

西安交通大学数字逻辑电路实验报告

电子技术实验报告——交通控制器的分析与设计 班级: 姓名: 学号: 日期: 2016年6月 联系电话:

目录 一、实验目的 (3) 二、项目设计概要 (3) 三、系统设计方案 (4) 四、测试结果及分析 (9) 五、项目总结 (10) 六、结束语 (10) 七、参考书 (10)

一.实验目的 数字逻辑电路专题实验是紧紧围绕数字逻辑这门课程进行的一个有实践性特质的课程,主要考察的是对于数字逻辑这门课程中比较重要的知识点的掌握程度和灵活运用程度,也考察了实际操作能力和对于特殊情况和意外情况的处理能力。通过对于译码器编码器等器件的实际操作和对相应变成软件的实际应用,达到对于这门课程更为深入理解这一目的。 同时,为解决实际生活中的问题有一定的指导意义,也能更好地对实际生活中的一些组合部件有更好地认识。 二.项目设计概要 1. 设计实现的目标 设计一个由一条主干道和一条支干道的汇合点形成的十字交叉路口的交通灯控制器,具体要求如下: (1) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。 (2) 主干道处于常允许通行状态,而支干道有车来才允许通行。 (3) 当主、支道均有车时,两者交替允许通行,主干道每次放行45 s,支干道每次放行25 s,在每次由亮绿灯变成亮红灯的转换过程中,要亮5 s的黄灯作为过渡,并进行减计时显示。 2. 整体设计概述 交通控制器拟由单片的CPLD/FPGA来实现,经分析设计要求,拟定整个系统由9个单元电路组成,如图所示。

3. 项目设计特点 我们在项目设计过程中采用模块化设计思想,同时用变量的方式来完成计数的设计,用计数器来实现显示这一特点,使得设计变得简单。 三.系统设计方案 1. 系统功能模块设计示意图: 2. 电路模块设计 输入:实验板时钟 输出:七段数码管 电路模块的设计: (1)交通灯控制器: 将题设的要求把电路分为ABCD四个状态,A为主干道为绿灯,B为主干道为黄灯,C为主干道为红灯,D为主干道为红灯,旁道为黄灯。 用特设的一个变量S,完成电路的即使功能,使得电路可以区分45s,25s等时间点,并且通过if语句完成状态之间的改变。 源程序: LIBRARY IEEE; USE JTDKZ IS PORT(CLK,SM,SB,CLD:IN STD_LOGIC; MR,MY,MG,BR,BY,BG: OUT STD_LOGIC);

相关文档
最新文档