第四章 组合逻辑电路的分析与组合逻辑模块新

第四章 组合逻辑电路的分析与组合逻辑模块新
第四章 组合逻辑电路的分析与组合逻辑模块新

第一到四章 复习总结

一、基本要求

1.正确理解以下基本概念:逻辑变量、逻辑函数、“与、或、非”基本逻辑关系、数制及码制。

2.熟练掌握逻辑函数的几种常用的表示方法:真值表、逻辑表达式、逻辑图、卡诺图。并能熟练的相互转换。

3. 熟练掌握逻辑代数基本定律、基本运算规则,能够熟练用其对逻辑函数进行代数化简及表达式转换。

4. 熟练掌握卡诺图化简法。

5.熟练掌握组合逻辑电路的分析方法和设计方法。

6.熟练掌握译码器、编码器、数据选择器、数值比较器的逻辑功能及常用中规模集成电路的应用。

7.熟练掌握半加器、全加器的逻辑功能,设计方法。

二、 解答示例及解题技巧

1. 用代数法化简下列各式:

(1)C AB C B BC A AC +++

=C AB C B BC A AC ++?(摩根定律) =C AB C B C B A C A ++++?+)()((摩根定律) =C AB C B C C B C A C A B A ++++++(分配律) =C B C B A ++(吸收律) =B C B A ++(吸收律) =B +(吸收律) =BC (摩根定律)

(2)C B A

ABC

C

B

A+

+

⊕)

(

=C B

A

C

B

A)

(

)

(⊕

+

⊕(分配律)

=C

B

A

B

A])

(

)

[(⊕

+

⊕(分配律)

=C (互补律)

2.用卡诺图法化简下列各式:

(1)L(A,B,C,D)=∑m(3,4,5,6,9,10,12,13,14,15)

解:将逻辑函数填入卡诺图并圈“1”,如图所示。对应写出逻辑表达式:

CD

B

A

D

AC

A

AB

D

B

B

L+

+

+

+

+

=

AB CD

L

A

B

C

D

1

1

1

1

1

1

1

1

1

1

L

CD

1

C

B

1

1

A

AB

D

1

1

(a)

(b)

(2)L(A,B,C,D)=∑m(1,4,6,9,13)+∑d

(0,3,5,7,11,15)

解:将逻辑函数填入卡诺图并圈“1”,如图所示。对应写出逻辑表达式:

D

C

B

A

L+

=

*讨论:在对逻辑函数进行卡诺图化简时,要注意下列几个问题:

1.在卡诺图的右上角标出函数及变量,变量的顺序是:从左至右对应变量的最高位到最低位。

2.圈“1”时注意:相对的格也相邻。不要漏掉有“1”的格,当只有一个独立的“1”时,也要把它圈起来。

3.当函数中存在无关项时,无关项的值可以任取。化简时究竟如何圈是以将函数化为最简为原则。若圈起来,则认为是“1”,若不圈,则认为是“0”,但有“1”的格,不能漏掉。

3.试分析如图题所示逻辑电路。

2

B L

1

A

C

L

解:根据电路写出逻辑表达式:

)(1C B A L ⊕⊕=

=2L BC C B A ?⊕)( BC C B A +⊕=)( BC C B C B A ++=)( ABC C AB C B A BC A +++=

列出真值表如表。

可见此电路实现了考虑低位进位的一位二进制数的加法功能,这种电路被称为全加器。

4.试用与非门设计一个组合逻辑电路,它接收一位8421BCD码B3、B2、B1、B0,仅当2<B3B2B1B0<7时,输出Y才为1。

解:1.根据题意知:逻辑变量为B3B2B1B0,逻辑函数为Y。

列出真值表如图(a)所示,因B3B2B1B0是BCD

码,所以从1010~1111六组值对应的最小项为无

关项,它们的函数值可以任取。

2.将真值表中的函数值填入卡诺图,并化简(见图

(b))。注意其中无关项的处理。

3.由卡诺图化简所得最简式,并转换为与非-与非式:

0120212B B B B B B B L ++=

0120212B B B B B B B ??=

4.画出逻辑电路如图(c )所示。

Y 0B 1 0 0 10 0 0 1B 0 1 0 0100 0 0 01101 0 1 11 1 0 11 0 0 00 1 0 10 1 1 0B 0 1 1 11 1 1 00 0 1 011 1 0 01 0 1 001 1 1 1

10

0 0 1 10302B

1

1

1

1

Y B 2

31B

B 0

3

B B B 2

1

B 0

B

(a )

(b)

B 2

1

B 0

B Y

(c)

5. 某实验室用两个灯显示三台设备的故障情况,当一台设备有故障时黄灯亮;当两台设备同时有故障时红灯亮;当三台设备同时有故障时黄、红两灯都亮。设计该逻辑电路。

解:1.根据逻辑问题找出输入变量和输出变量,并设定逻辑值。

在题所述逻辑问题中,可确定A 、B 、C 为输入变量,

它们代表三台设备的故障情况,并设定:有故障时,对应逻辑“1”;无故障时,对应逻辑“0”。确定L1、L2为输出变量,它们分别表示黄灯和红灯的亮、灭情况,我们设定:灯亮时,对应逻辑“1”;灯灭时,对应逻辑“0”。

2.根据逻辑问题及以上设定,列出真值表。

题对应的真值表如表所示。

3.由真值表写出逻辑表达式,并化简。

用公式法化简L1:

+

A

=

B

+

L+

B

C

A

ABC

B

C

1

B

C

A+

+

=

+

B

)

C

)

(C

(

A

B

BC

B

+

A⊕

C

=

)

A

)

(

B

(C

=

A⊕

(C

)

B

用卡诺图法化简L2:将真值表中的函数值填入卡诺

图,并化简(参见图(a))。可直接得到最简表达

式:

L2=AB+BC+AC

若采用与非门实现,则应将函数转换为与非-与非式:

L?

=

?

AC

BC

AB

2

4.根据表达式画出逻辑电路如图(b)所示。由图

可见,该电路要用三片集成器件构成:一片四异或

门7486、一片四2输入与非门、一片三3输入与

非门。虽然逻辑表达式最简,但实际实现起来所用

的集成器件的个数和种类都不是最少。

B C

A

L L 7400

(a) (b)

A

C L B L

(c)

5.若以集成器件为基本单元来考虑问题,可重新化简逻辑函数L 2:

ABC C AB C B A BC A L +++=2 BC C B C B A ++=)( BC C B A +⊕=)(

BC C B A ?⊕=)(

对应的逻辑电路如图 (c)所示。可见此电路只需两片集成器件即可完成。

*讨论:通过题的分析,使我们认识到:设计逻辑电路时,不能单纯考虑逻辑表达式是否最简,所用逻辑门是否最

B A

L

C

000

111

1

少,而要从实际出发,以集成器件为基本单元来考虑问题,看是否所用集成器件的个数及种类最少。

另外,从题的分析中可见:进行多个输出端的逻辑函数的化简时,让不同的输出逻辑函数中包含相同项,可以减少门的个数,有利于整个逻辑电路的化简。

6. 图题是一个三态门接成的总线电路,试用与非门设计一个最简的译码器,要求译码器输出端L 1、L 2、L 3轮流输出高电平以控制三态门,把三组数据D 1、D 2、D 3反相后依次送到总线上。

1

A 2

L 3

A

解:由题目要求,可以采用二进制译码器。该译码器输出三个控制信号,要设置三个输出端,两个输入端。输入与输出的逻辑关系如表所示。根据真值表写出逻辑表达式,并整理为与非式:01011A A A A L ==

1012A A A A L ==

1013A A A A L ==

由表达式画出译码器的逻辑电路如图所示。 7.试用译码器74138和适当的门电路实现逻辑函数:

ABC C AB C B A C B A L +++=

解:整理逻辑函数:ABC C AB C B A C B A L +++=

ABC C AB C B A C B A ???= 7620m m m m =

译码器74138的G 1 G 2A G 2B 取100时,各输出函数为:

0120m A A A Y ==,10121m A A A Y ==……

7

0127m A A A Y ==,若将ABC 送入

译码器的A 2A 1A 0,则有:

7620Y Y Y Y L =

根据以上分析画出逻辑电路如图所示。

Y Y 263Y 5711Y Y G 2B C

Y 2A G Y G A 74138

B

A

A 10

Y 00

1

L A 24

8. 试用8选1数据选择器74151和门电路设计一个四位二进制码奇偶校验器。要求当输入的四位二进制码中有奇数个1时,输出为1,否则为0。

解:设四位二进制码ABCD 为输入逻辑变量,校验结果L 为输出逻辑变量。所对应的奇偶校验器的逻辑关系见表。

若由8选1数据选择器74151和门电路实现此逻辑关系,可以将输入变量A、B、C送入74151的A2、A1、A0端,当ABC从000~111取8组值时,L与D的关系参见表,又知当ABC从000~111取8组值时,数据选择器将依次选通D0~ D7,据此可将输入变量D送入D0、D3、D5、D6,D送入D1、D2、D4、D7。电路如图所示,它可以完成一个四位二进制码的奇偶校验功能。

L D

9. 试用2片8选1数据选择器74151扩展成16选1数据选择器,在4位地址输入选通下,产生一序列信号0100101110011011。

解:首先将2片8选1数据选择器74151扩展成16选1数据选择器,此选择器有16条数据输入通道D 0~ D 15,当地址选择信号A 3A 2A 1A 0从0000~1111取16组值时,数据选择器将依次选通D 0~ D 15,若将序列信号0100101110011011依次送入D 0~ D 15,则可以在地址选择信号控制下,从选择器的输出端得到这一序列信号。电路如图所示。

D D 24D 2130512D D 3D D A Y D D 611D D 1148315D D A D 7D D A 110A 0

911110001

00

101110

10. 由译码器74138和8选1数据选择器74151组成如图题所示的逻辑电路。X 2X 1X 0及Z 2Z 1Z 0为两个三位二进制数。试分析电路的逻辑功能。

D 0D 1D 2D 3

D 4D 5D 6

D 774151

A 1A 2A 0Y

X 1X

2X 0

Z 1Z 2Z 0

G

在图题所示的逻辑电路中,74138是3-8线二进制译码器,74151是8选1数据选择器。当X 2 X 1 X 0由000~111取8组

值时,74138的输出Y0~Y7分别输出低电平,同时其它各端为高电平,又知当Z2Z1Z0从000~111取8组值时,数据选择器将依次选通D0~ D7。由此可见,当X2 X1 X0与Z2Z1Z0相等时,Y=0,当两者不等时,Y=1。这是一个相同数值比较器。

组合逻辑电路基础知识、分析方法

组合逻辑电路基础知识、分析方法 电工电子教研组徐超明 一.教学目标:掌握组合逻辑电路的特点及基本分析方法 二.教学重点:组合逻辑电路分析法 三.教学难点:组合逻辑电路的特点、错误!链接无效。 四.教学方法:新课复习相结合,温故知新,循序渐进; 重点突出,方法多样,反复训练。 组合逻辑电路的基础知识 一、组合逻辑电路的概念 [展示逻辑电路图]分析得出组合逻辑电路的概念:若干个门电路组合起来实现不同逻辑功能的电路。 复习: 名称符号表达式 基本门电路与门Y = AB 或门Y = A+B 非门Y =A 复合门电路 与非门Y = AB 或非门Y = B A+ 与或非门Y = CD AB+ 异或门 Y = A⊕B =B A B A+ 同或门 Y = A⊙B =B A AB+ [展示逻辑电路图]分析得出组合逻辑电路的特点和能解决的两类问题: 二、组合逻辑电路的特点 任一时刻的稳定输出状态,只决定于该时刻输入信号的状态,而与输入信号作用前电路原来所处的状态无关。不具有记忆功能。

三、组合逻辑电路的两类问题: 1.给定的逻辑电路图,分析确定电路能完成的逻辑功能。 →分析电路 2.给定实际的逻辑问题,求出实现其逻辑功能的逻辑电路。→设计电路 14.1.1 组合逻辑电路的分析方法 一、 分析的目的:根据给定的逻辑电路图,经过分析确定电路能完成的逻辑功能。 二、 分析的一般步骤: 1. 根据给定的组合逻辑电路,逐级写出逻辑函数表达式; 2. 化简得到最简表达式; 3. 列出电路的真值表; 4. 确定电路能完成的逻辑功能。 口诀: 逐级写出表达式, 化简得到与或式。 真值表真直观, 分析功能作用大。 三、 组合逻辑电路分析举例 例1:分析下列逻辑电路。 解: (1)逐级写出表达式: Y 1=B A , Y 2=BC , Y 3=21Y Y A =BC B A A ??,Y 4=BC , F=43Y Y =BC BC B A A ??? (2)化简得到最简与或式: F=BC BC B A A ???=BC BC B A A +??=BC C B B A A +++))(( =BC C B A B A BC C B B A +??+?=++?)(=BC B A BC C B A +?=++?)1( (3)列真值表: A B C F 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 (4)叙述逻辑功能: 当 A = B = 0 时,F = 1 当 B = C = 1 时,F = 1 组合逻辑电路 表达式 化简 真值表 简述逻辑功能

组合逻辑电路的分析

组合逻辑电路的分析(大题)一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。

5.评价电路性能。三.思路总结: 组合逻辑 电路逻辑表达式最简表达式真值表逻辑功能化简 变换 四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。

3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。 五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z1、Z2、Z3和Y的逻辑表达式为:

04第四章组合逻辑电路

第四章组合逻辑电路 ▲ 4.1概述 1 ?逻辑电路的分类 (1)组合逻辑电路(简称组合电路); (2)时序逻辑电路(简称时序电路)。 2、组合逻辑电路的特点 (1)功能特点:任一时刻的输出状态仅仅取决于同一时刻的输入状态, 一时刻的状态无关。 (2)结构特点:不包含记忆单元,即存储单元。 3、组合逻辑电路的描述 如图所示: 用一组逻辑函数表示为: 『丫1 f1(X’、 X、X n) 斗丫2 f2(X’、 X2、 X n) JY n f n(X1、X2、X n) 4.2组合逻辑电路的分析和设计方法 一、分析方法 分析就是已知电路的逻辑图,分析电路的逻辑功能。分析步骤如下: (1)根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式。 (2)利用公式法或卡诺图法化简逻辑函数表达式(最简与或表达式)(3)列真值表。 (4)确定其逻辑功能。 例1、分析下图组合逻辑电路的功能。而与前 组合逻辑电路输出信号

(4)由真值表知:若输入两个或者两个以上的1, 输出丫为1 功能:在实际应用中可作为多数表决电路 使用。 练习:分析如图所示组合逻辑电路的功能 ▲二、设计方法 设计就是已知实际逻辑问题,设计实现该功能的最简电路。 设计步骤如下: (1)根据实际逻辑问题进行逻辑抽象,即确定输入、输出变量的个数,并对它们进行逻辑赋值(即确定0和1代表的含义)。 (2)根据逻辑功能列出真值表,求出逻辑函数表达式。 (3)选定逻辑器件。 1、若选用SSI (小规模门电路),则化简函数表达式,画出实现电路; 2、若选用MSI (中规模门电路),则变换函数表达式形式,画出实现电路。例2、 有三个班学生上自习,大教室能容纳两个班学生,小教室能容纳一个班学生。设计两个教室是否开灯的逻辑控制电路,用SSI门电路实现。要求如下: (1)一个班学生上自习,开小教室的灯。 (2)两个班上自习,开大教室的灯。 (3)三个班上自习,两教室均开灯。 解:(1)逻辑抽象: 设输入变量A、E、C分别表示三个班学生是否上自习,1表示上自习,0表示不上自习; 输出变量Y、F分别表示大教室、小教室的灯是否亮,1表示亮,0表示灭。 (2)列真值表: (3)列真值 表: ABC 丫 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

第六章-几种常用的组合逻辑电路试题及答案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

数字电子技术第四章组合逻辑电路

第四章组合逻辑电路 4.1概述 1、数字电路种类:逻辑电路根据输岀信号对输入信号响应的不同分为两类:一类是组合逻辑电路,简称组合电路;另一类是时序逻辑电路,简称时序电路。 2、组合逻辑电路定义:某一时刻电路的输出状态仅由该时刻电路的输入信号决定,而与该电路在此输入信号之前所具有的状态无关。从电路结构上来看,组合逻辑电路的输出端和输入端之间没有反馈回路。 3、电路结构框图 组合电路的一般电路结构如右图所示。可用如下表达式裏示: X n-P X n) 点. | i 1)电路由逻辑门构成,不含记忆元件. 2)输出卷反馈到输入的回路(不含反馈元 件)所以输出与电路原来状态无关时序电路(以 后祥细讨论)某一时刻电路的输岀状态不仅取决 于该时刻电路的输入信号,还与该电路在此输入 信号之前所具有的状态有关。组逻电合辑路 X千― n-1 X n 组合电路有两类问题:7?给定电路,分析其功能。

4.2组合逻辑电路的分析方法与设计方法 421组合电路的分析方法 一、分析步骤: 1、由已知的逻辑图,写出相应的逻辑函数式; 2、对函数式进行化简; 3、根据化简后的函数式列真值表; 4、找出其逻辑功能; 5、评价与改进。(评价给定的逻辑电路是否经济、合理。)设计步骤用框图表示如下:

A?B (A^)C i+AB C (A^B)C f +AB = (A^B)C i +AB 一位二进制加法器。 A 为被加数, B 为加数, C,为低位的进位数。 S 为本位之和, C 。是本位向高位的进 位数。 ? 真值表 A^B 0 0 7 0 1 1 0 0 0 0 0 0 0 0 1 0 0 1 0 1 s (A?B)C Z 0 0 1 0 1 0 0 1 1 0 0 1 0 1 A?B?C. AB T" 0 0 0 0 0 0 0 0 1 0 1 Co P 0 0

第五章组合逻辑电路典型例题分析

第五章 组合逻辑电路典型例题分析 第一部分:例题剖析 例1.求以下电路的输出表达式: 解: 例2.由3线-8线译码器T4138构成的电路如图所示,请写出输出函数式. 解: Y = AC BC ABC = AC +BC + ABC = C(AB) +CAB = C (AB) T4138的功能表 & & Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7 “1” T4138 A B C A 2A 1A 0Ya Yb S 1 S 2 S 30 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 S 1S 2S 31 0 01 0 01 0 01 0 01 0 01 0 01 0 01 0 0 A 2A 1A 0Y 0Y 1Y 2Y 3Y 4Y 5Y 6Y 70 1 1 1 1 1 1 11 0 1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 0

例3.分析如图电路,写出输出函数Z的表达式。CC4512为八选一数据选择器。 解: 例4.某组合逻辑电路的真值表如下,试用最少数目的反相器和与非门实现电路。(表中未出现的输入变量状态组合可作为约束项) CC4512的功能表 A ? DIS INH 2A 1A 0Y 1 ?0 1 0 0 0 00 00 00 0 0 0 0 00 0 ?????0 0 00 0 10 1 00 1 11 0 0 1 0 11 1 01 1 1 高阻态  0D 0D 1D 2D 3D 4D 5D 6D 7 Z CC4512 A 0A 1A 2 D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 DIS INH D 1 D A B C D Y 0 0 0 0 1 0 0 0 1 00 0 1 0 10 0 1 1 00 1 0 0 0 CD AB 00 01 11 1000 1 0 0 101 0 1 0 1 11 × × × ×10 0 1 × × A B 第一步画卡诺图第三步画逻辑电路图

3.1组合逻辑电路的分析

第三章组合逻辑电路 基本要求: 熟练掌握组合逻辑电路的分析方法;掌握组合逻辑电路的设计方法;理解全加器、译码器、编码器、数据选择器、数据比较器的概念和功能,并掌握它们的分析与实现方法;了解组合逻辑电路中的险象 本章主要内容:组合逻辑电路的分析方法和设计方法。 本章重点: 组合逻辑电路的分析方法 组合逻辑电路的设计方法 常用逻辑部件的功能 本章难点: 组合逻辑电路的设计 一、组合逻辑电路的特点 若一个逻辑电路,在任一时刻的输出仅取决于该时刻输入变量取值组合,而与电路以前的状态无关,则电路称为组合逻辑电路(简称组合电路)。可用一组逻辑函数描述。 组合电路根据输出变量分为单输出组合逻辑电路和多输出组合逻辑电路。 注意:1.电路中不存在输出端到输入端的反馈通路。 2.电路不包含记忆元件。 3.电路的输出状态只由输入状态决定。 二、组合逻辑电路的分析方法 分析的含义:给出一个组合逻辑电路,分析它的逻辑功能。 分析的步骤: 1.根据给出的逻辑电路图,逐级推导,得到输出变量相对于

输入变量的逻辑函数。 2.对逻辑函数化简。 3.由逻辑函数列出对应的真值表。 4.由真值表判断组合电路的逻辑功能。 三、组合电路的分析举例 1、试分析图3-1所示的单输出组合逻辑电路的功能 解:(1)由G1、G2、G3各个门电路的输入输出关系,推出整个电路的表达式: Z1=ABC F=Z1+Z2 (2)对该逻辑表达式进行化简: (3)根据化简后的函数表达式,列出真值表3-1。 (4)从真值表中可以看出:当A、B、C三个输入一致时(或者全为“0”、或者全为“1”),输出才为“1”,否则输出为“0”。所以,这个组合逻辑电路具有检测“输入不一致”的功能,也称为“不一致电路”。

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

组合逻辑电路基本概念复习考试题

组合逻辑电路基本概念复习题 填空 1.消除或减弱组合电路中的竞争冒险,常用的方法是发现并消掉互补变量,增加__________,并在输出端并联 。冗余项、电容器 2.要扩展得到1个16-4线编码器,需要 片74LS148。2 3.在组合逻辑电路中,当一个输入信号经过多条路径传递后到达某一逻辑门的输入端时,会有时间先后,这一现象称为_________,由此而产生输出干扰脉冲的现象称为 。 竞争、冒险 4.所谓组合逻辑电路是指:在任何时刻,逻辑电路的输出状态只取决于电路各 的组合,而与电路的 无关。输入状态、原来的状态 5.组合逻辑电路由逻辑门电路组成,不包含任何 ,没有 能力。 记忆元件、记忆 6.常见的中规模组合逻辑器件有 和 等。 编码器、译码器、数据选择器、数值比较器、加法器任选二个。 7.加法器是一种最基本的算术运算电路,其中的半加器是只考虑本位两个二进制数进行相加不考虑 的加法器。低位向本位的进位 8.全半加器既要考虑本位两个二进制数进行相加,还要考虑 的加法器。 低位向本位的进位 9.用全加器组成多位二进制数加法器时,加法器的进位方式通常有、 、 2种。 串行进位、并行进位 10.基本译码器电路除了完成译码功能外,还能实现 和 功能。 逻辑函数发生、多路分配 11.多路分配器可以直接用 来实现。译码器 12.与4位串行进位加法器比较,使用超前进位全加器的目的是 。 提高运算速度 13.在分析门电路组成的组合逻辑电路时,一般需要先根据 写出逻辑表达式。 逻辑电路图 14.数据选择器的功能相当于多个输入的数据数据开关,是指经过选择,把 通道的数据传送到 的公共数据通道上去。多个、唯一 15.数据分配器的功能相当于一个多输出的数据开关,是将 数据源来的数据根据需要,送到 不同的通道上去。经过选择,把通道的数据传送到的公共数据通道上去。 一个、多个 16.加法器的超前进位级联方式,高位的运算不必等低位运算的结果,故提高了 ,但结构比较 。运算速度、复杂 17.加法器串行进位的级联方式由于结构 ,主要用在 数字设备中。 简单、低速 选择 1.比较两个一位二进制数A 和B ,当B A >时输出1=F ,则F 的表达式是(C )。 A 、A B F = B 、B A F = C 、A F = D 、A F = 2.设计加法器的超前进位是为了(B )。 A . 电路简单 B .每一级运算不需等待进位 C . 连接方便 D .使进位运算由低位到高位逐位进行

第四章 组合逻辑电路

第三章 组合逻辑电路 一. 填空题 1. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=100时,输出 01234567Y Y Y Y Y Y Y Y 应为 11101111 。 2. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=101时,输出 01234567Y Y Y Y Y Y Y Y 应为 1101111 。 3. 数字电路按照是否有记忆功能通常可分为两类: 组合逻辑电路 和 时序逻辑电路。 。 4. 16选一数据选择器,其地址输入端有 16 个 5. 8选一数据选择器有___8______条地址控制线。 二.选择题 1. 在下列逻辑电路中,不是组合逻辑电路的是 D A.译码器 B.编码器 C.全加器 D.寄存器 2. 三十二路数据选择器,其地址输入端有 C 个 A .16 B .2 C .5 D . 8 3. 数据选择器是具有 A 通道的器件 A.多输入单输出 B.多输入多输出 C.单输入单输出 D.单输入多输出 4. 欲对全班54个同学以二进制代码编码表示,最少需要二进制的位数是(B ) A. 5 B.6 C. 10 D . 53 5. 已知A 、B 为逻辑门的输入端,F 为输出端,其输入、输出波形如图1所示。试判断这是哪种逻辑门的波形 D 。 A B F 图1 A.与非门 B. 与门 C. 或非门 D . 或门 三.简答和计算题

1. 将逻辑函数F AB AC ABC =++转化为与非-与非表达式,并画出只由 与非门实现的逻辑电路图。 2. 将逻辑函数Y=AB+BC+CA化为与非-与非形式,并画出只由与非门实现的逻辑电路图。 3. 用8选1数据选择器74HC151实现函数F AC ABC ABC ABC =+++。 4. 用8选1数据选择器74HC151实现逻辑函数F AC AB ABC =++。 5. 用8选1数据选择器实现函数F=AC+ABC+ABC。

实验二 组合逻辑电路功能分析与设计

实验二组合逻辑电路功能分析与设计 一、实验目的: 1、了解组合逻辑电路的特点; 2、掌握组合逻辑电路功能的分析方法; 3、学会组合逻辑电路的连接方法; 4、掌握组合逻辑电路的设计方法。 二、实验原理: 1、组合逻辑电路的特点: 组合电路的输出只与当时输入的有关,而与电路以前的状态无关,即输出与输入的关系具有及时性,不具备记忆功能。 2、组合逻辑电路的分析方法: a写表达式:一般方法是从输入到输出逐级写出逻辑函数的表达式。 b化简:利用公式法和图行法进行化简,得出最简的函数表达式。 c列真值表:根据最简函数表达式列出函数真值表。 d功能描述:判断该电路所完成的逻辑功能,做出简要的文字描述,或进行改进设计。 3、组合逻辑电路的设计步骤: a根据设计的要求列出真值表。 B根据真值表写出函数表达式。 C化简函数表达式或做适当的形式转换。 D画出逻辑电路图。 三、实验器件 集成块:74LS00、74LS04、74LS08、74LS32 四、实验内容: (一)、组合逻辑电路功能分析 当电路A,B都输入0或1时,Y值输出为1; 当电路A,B输入为不一样的值时,Y值输出为0. 1图4-1 (二)、组合逻辑电路设计(根据组合逻辑电路的设计步骤,分别写出各个组合逻辑电路的设计步骤。) 1、设计一个举重裁判表决器。设举重比赛有三个裁判,一个主裁判和两个副裁判。杠铃完全举上的裁决由每一个裁判按一下自己面前的按钮来确定。只有当两个或两个以上裁判(其中必须有主裁判)

判明成功时,表示“成功”的灯才亮。(要求用与非门实现) 设输入变量:主裁判为A ,副裁判分别为B ,C ,按下按钮为1,不按为0;输出变量:表示成功与否用Y 表示,灯亮为1,不亮为0,根据题意可以列出如图的真值表。 Y=AB == *AC == 2、某设备有开关A 、B 、C ,要求仅在开关A 接通的条件下,开关B 才能接通;开关C 仅在开关B 接通的条件下才能接通。违反这一规程,则发出报警信号。设计一个由与非门组成的能实现这一功能的报警控制电路。(要求用与非门实现) 设输入变量:开关分别为A ,B ,C ;输出变量:报警器为Y ,报警为1,不报警为0,根据题意可以列出如图的真值图。 Y=AC -= *AB -= *BC -=

常见组合逻辑电路

12- 1 第十章第一节 常见的组合逻辑电路 共4页 《脉冲与数字电路》课程教案 第一节 常见的组合逻辑电路 掌握简单组合逻辑门电路符号和输入/输出关系; 理解加法器、比较器、编码器和译码 器的输入/输出关系。 与非、或非、与或非及异或门电路符号及对应的逻辑函数关系 其它组合逻辑电路的分析 方法 课 型:讲解 教学方法:用图示法表现组合逻辑门电路同基本逻辑门电路之间的联系;用真值表说明 组合逻辑电路 的功能。 教 具:组合逻辑电路教学挂图 时间分配:导入5分,组合逻辑门电路 30分,其它组合逻辑电路 50分(其中,加法器 10分,比较器15分,编码器10分,译码器15分),小结与作业布置 5分。 教学进程: V 导入〉复习: (提问)1、什么是门电路?常用的基本逻辑门电路有哪几种? 2 、什么是正逻辑和负逻辑? (引言)用门电路可以组成各种复杂的逻辑电路来模拟不同的逻辑函数关系,这些逻辑电 路分成两大类:组合逻辑电路和时序逻辑电路。 概述:什么是组合逻辑电路? 电路的输出只与该时刻的输入信号有关,而与电路原来的状态无关; 组合逻辑电路由逻辑门电路组成,且不含任何形式的信号回授(即反馈) 基本逻辑门电路就是最基本的组合逻辑电路。 第一节 常见的组合逻辑电路 一、简单组合逻辑门电路 概述:有与非门、或非门、与或非门和异或门等。 1. 与非门电路 电路符号: 逻辑函数:F = AB 真值表:(略) 2. 或非门电路 序号:12 教学内容: 第十章组合逻辑电路 V 正课> 第十章组合逻辑电路 目的与要求: 重点与难点: 1 F A B

电路符号: 逻辑函数:F= A + B 真值表:(略) 3.与或非门电路A B 电路符号: 逻辑函数:F= AB + CD 4.异或门电路 电路符号: A 逻辑函数:F= AB + AB = A ? B (推导逻辑关系)真值表:(略,强调其异或的含义) 二、其它组合逻辑电路 1?加法器 加法器的基础是一位加法器,一位加法器有半加和全加两种。 (1)半加器只实现本位相加(不计算低位向本位的进位,高位进位) 由真值表可知,异或门就能完成半加器功能。 (2)全加器实现本位和低位进位三者相加,并向高位进位(即有 三个输入端,两个输出端) 全加器真值表: 全加器本位和Si和进位G的逻辑表达式: S i = C i-1 ①(A j ① B j) C i = A i B i + C i-1(A i ? B i) 电路实现:S i由两个异或门组成,C i由一个异或门、一个与或非门和一个非门组成。(学生练习)半加器真值表 也不向0 1 1 0 1 1 1 1 全加器的逻辑符号: C i-1 Ai - B i- FA C i C i-1 A i B i S i C i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 全加器真值表 2.比较器 (1)一位同比较器只判断两个一位二进制数是否相等的逻辑电路, 它是多位比较器的

组合逻辑电路的分析与设计实验报告

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法

1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2线编码器真值表如表一所示 4线-2线编码器真值表 (2)由真值表可得4线-2线编码器最简逻辑表达式为 Y=((I0′I1′I2I3′)′(I0′I1′I2′I3)′)′ 1 Y=((I0′I1I2′I3′)′(I0′I1′I2′I3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 I=1 1Y0Y=0 0 1I=1 1Y0Y=0 1 I=1 1Y0Y=1 0 3I=1 1Y0Y=1 1 2 2、设计2线-4线译码器并测试其逻辑功能。 译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的号.(即电路的某种状态),具有译码功能的逻辑电路称为译码器。 (1)2线-4线译码器真值表如表二所示

数字电子技术第4章组合逻辑电路习题解答

习题 写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。 习题图 解:B A B A B A B A B A F⊕ = + = + = 该电路实现异或门的功能 分析图所示电路,写出输出函数F。 习题图 解:[]B A B B B A F⊕ = ⊕ ⊕ ⊕ =) ( 已知图示电路及输入A、B的波形,试画出相应的输出波形F,不计门的延迟. 解:B A B A B A AB B AB A AB B AB A F⊕ = ? = ? ? ? = ? ? ? = 由与非门构成的某表决电路如图所示。其中A、B、C、D表示4个人,L=1时表示决议通过。 (1)试分析电路,说明决议通过的情况有几种。 (2)分析A、B、C、D四个人中,谁的权利最大。 习题图 解:(1)ABD BC CD ABD BC CD L+ + = ? ? = B A C& & & & D L B A= 1 == 1 F F A B F B A

(2) L 0 0010111 (3)分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。 习题图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) L

试分析图所示电路的逻辑功能。 习题图 解:(1)ABC C B A F )(++= (2) F 01111110 F

电路逻辑功能为:“判输入ABC 是否相同”电路。 已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。 习题图 解:(1)根据波形图得到真值表: F 1 0010010 C AB BC A C B A F ++= 、设∑= )14,12,10,9,8,4,2(),,,(m D C B A F ,要求用最简单的方法,实现的电路最简单。 1)用与非门实现。 2)用或非门实现。 3) 用与或非门实现。 F C B A

第六章_几种常用的组合逻辑电路试题及答案

1.(8-5中)设一位二进制半加器的被加数为A,加数为B,本位之和为S, 向高位进位为C,试根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表: 2.(8-5难)设一位二进制全加器的被加数为A i,加数为B i,本位之和为 S i,向高位进位为C i,来自低位的进位为C i-1,根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表:

3.(8-1难)分析图示逻辑电路: 1).列真值表 2).写出逻辑表达式 3).说明其逻辑功能。 =++,根据给出的4.(8-3难*)用一个74LS138译码器实现逻辑函数Y ABC ABC ABC 部分逻辑图完成逻辑图的连接。

6.(8-1难)试用2输入与非门和反向器设计一个3输入(I0、I1、I2)、3输出(L0、L1、L2)的信号排队电路。它的功能是:当输入I0为1时,无论I1和I2为1还是0,输出L0为1,L1和L2为0;当I0为0且I1为1,无论I2为1还是0,输出L1为1,其余两个输出为0;当I2为1且I0和I1均为0时,输出L2为1,其余两个输出为0。如I0、I1、I2均为0,则L0、L1、L2也均为0。 1).列真值表 2).写出逻辑表达式 3).将表达式化成与非式 4).根据与非式画出逻辑图 7.(8-1难)某个车间有红、黄两个故障指示灯,用来表示3台设备的工作情况。如一台设备出现故障,则黄灯亮;如两台设备出现故障,则红灯亮;如三态设备同时出现故障,则红灯和黄灯都亮。试用与非门和异或门设计一个能实现此要求的逻辑电路。 1).列真值表

2).写出逻辑表达式 3).根据表达式特点将其化成与非式,或者是异或式 4).根据化成的表达式画出逻辑图 9.(8-3难)请用3-8线译码器译码器和少量门器件实现逻辑函数 ()()∑=7630,,,,,m A B C F 。

第4章 组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0

时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 真值表: 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。

[题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。 图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 、 COMP=1、Z=0时的真值表 COMP=0、Z=0的真值表从略。 [题] 用与非门设 1,输

组合逻辑电路的分析与设计

第三章组合逻辑电路的分析和设计 [教学要求] 1.掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式; 2.掌握逻辑函数的公式化简法和卡诺图化简法; 3.了解最小项、最大项、约束项的概念及其在逻辑函数化简中的使用。 4.掌握组合逻辑电路的分析和设计方法; 5.了解组合电路中的竞争和冒险现象、产生原因及消除方法。 [教学内容] 1.逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式 2.逻辑函数的公式化简法和卡诺图化简法 3.最小项、最大项、约束项的概念及其在逻辑函数化简中的使用 4.组合逻辑电路的分析方法 5.组合逻辑电路的设计方法 6.组合电路中的竞争和冒险现象、产生原因及消除方法 组合逻辑电路――在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而和先前状态无关的逻辑电路。 组合逻辑电路具有如下特点: (1)输出、输入之间没有反馈延迟通路; (2)电路中不含记忆单元。 3.1 逻辑代数 逻辑代数是分析和设计逻辑电路不可缺少的数学工具。逻辑代数提供了一种方法,即使用二值函数进行逻辑运算。逻辑代数有一系列的定律和规则,用它们对数学表达式进行处理,可以完成对电路的化简、变换、分析和设计。

一、逻辑代数的基本定律和恒等式 常用逻辑代数定律和恒等式表:P90 加乘非 基本定律 结合律 交换律 分配律 反演律(摩根定律) 吸收律 其他常用恒等式 表中的基本定律是根据逻辑加、乘、非三种基本运算法则,推导出的逻辑运算的一些基本定律。对于表中所列的定律的证明,最有效的方法就是检验等式左边的函数和右边函数的真值表是否吻合。 证明: 证明如下: 二、逻辑代数的基本规则

04第四章 组合逻辑电路

教案

第四章 组合逻辑电路 ▲4.1 概述 1.逻辑电路的分类 (1)组合逻辑电路(简称组合电路); (2)时序逻辑电路(简称时序电路)。 2、组合逻辑电路的特点 (1)功能特点:任一时刻的输出状态仅仅取决于同一时刻的输入状态,而与前 一时刻的状态无关。 (2)结构特点:不包含记忆单元,即存储单元。 3、组合逻辑电路的描述 如图所示: 用一组逻辑函数表示为: 4.2组合逻辑电路的分析和设计方法 一、 分析方法 分析就是已知电路的逻辑图,分析电路的逻辑功能。 分析步骤如下: (1)根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式。 (2)利用公式法或卡诺图法化简逻辑函数表达式(最简与或表达式)。 (3)列真值表。 (4)确定其逻辑功能。 例1、分析下图组合逻辑电路的功能。 解 (1)AC BC AB Y ??= (2)化简:Y=AB+BC+AC & A B B C A C Y && &组合逻辑电路 … …X 1X 2 X n Y 1Y 2 Y m 输入信号 输出信号 .. . )X X X (f Y ) X X X (f Y )X X X (f Y n 21n n n 2122n 2111???=???=???=、、、、、、

(3)列真值表: (4)由真值表知: 若输入两个或者两个以上的1,输出Y 为1。 功能:在实际应用中可作为多数表决电路使用。 练习:分析如图所示组合逻辑电路的功能。 ▲二、设计方法 设计就是已知实际逻辑问题,设计实现该功能的最简电路。 设计步骤如下: (1)根据实际逻辑问题进行逻辑抽象,即确定输入、输出变量的个数, 并对 它们进行逻辑赋值(即确定0和1代表的含义)。 (2)根据逻辑功能列出真值表,求出逻辑函数表达式。 (3)选定逻辑器件。 1、若选用SSI (小规模门电路),则化简函数表达式,画出实现电路; 2、若选用MSI (中规模门电路),则变换函数表达式形式,画出实现电路。 例2、有三个班学生上自习,大教室能容纳两个班学生,小教室能容纳一个班学生。设计两个教室是否开灯的逻辑控制电路,用SSI 门电路实现。要求如下: (1)一个班学生上自习, 开小教室的灯。 (2)两个班上自习, 开大教室的灯。 (3)三个班上自习, 两教室均开灯。 解:(1)逻辑抽象: 设输入变量A、B、C分别表示三个班学生是否上自习, 1表示上自习, 0表示不上自习; 输出变量Y、 F 分别表示大教室、小教室的灯是否亮, 1表示亮, 0表示灭。 (2)列真值表: A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 & & & & A B Y 1 Y 2 Y 3 Y

组合逻辑电路的分析

一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。 5.评价电路性能。 三.思路总结:

四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。 3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。

五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z 1、Z 2、Z 3和Y 的逻辑表达式为: 321 3121Z Z Y BZ Z AZ Z AB Z ==== (2)化简与变换:将Z 1、Z 2、和Z 3代入到公式Y 中进行公式化简得: B A B A BZ AZ BZ AZ Z Z Z Z Y +=+=+=+==11113232 (3)列出真值表:根据化简以后的逻辑表达式列出真值表如表所示。

第4章-组合逻辑电路(1)

4 组合逻辑电路 组合逻辑电路的分析 4.1.1 写出如图题所示电路对应的真值表。 解:(1)根据图题4.1.1(a )所示的逻辑图,写出其逻辑表达式,并进行化简和变换得 L AB A B BC C AB A B BC C AB AB B C B A C =+++?=++++=+++=++ 根据上述逻辑表达式列出真值表如表题解4.1.1(a )所示。 (2) 根据图题4.1.1(b )所示的逻辑图,写出逻辑表达式,并进行化简和变换得 2()L ABC ABC A BC BC =+=+ 12()()0L L ABC A BC BC ABC A BC BC ABC =+=++=+?==1 根据上述逻辑表达式列出真值表,如表题解4.1.1(b )所示。

4.1.2组合逻辑电路及输入波形(A、B)如图题4.1.2所示,试写出输出端的逻辑表达式 并画出输出波形。 解:由逻辑电路写出逻辑表达式 =+=e L AB AB A B 首先将输入波形分段,然后逐段画出输出波形。当A、B信号相同时,输出为1,不同时,输出为0,得到输出波形,如图题解4.1.2所示。 4.1.4 试分析图题4.1.4所示逻辑电路的功能。 解:组合逻辑电路的分析步骤是,首先由逻辑电路写出逻辑表达式,然后根据逻辑表达式列出真值表,再由真值表判断逻辑功能。由逻辑电路写出逻辑表达式

()()L A C D =⊕⊕⊕ 列出真值表,如表题解4.1.4所示。 由真值表可知,输入奇数个1(或0),输出L=1,输入偶数 个1(或0),输出L=0.该电路为奇校电路。 4.1.5 逻辑电路如图题4.1.5所示,试分析其逻辑功能。 解:根据组合逻辑电路的分析步骤 (1) 由逻辑电路写出输出与输入的逻辑表达式 1L A B AB =+= 2()()L A B A B A B A B AB AB =+++=+?+=+

相关文档
最新文档