时序逻辑电路实验报告

时序逻辑电路实验报告
时序逻辑电路实验报告

数字逻辑电路实验(第2版):

《数字逻辑电路实验(第2版)》是2015年电子工业出版社出版的图书,作者是刘霞、李云、魏青梅。

内容简介:

本书是依据高等工科院校数字电路逻辑设计课程教学大纲的基本要求,并结合作者多年的科研与教学经验编写而成的。

本书详细讲解了数字逻辑电路实验及其实验基础,每项实验内容包括典型芯片的功能及应用、数字电路的逻辑仿真、基于可编程器件实现常见电路的VHDL程序设计与仿真以及电路故障诊断方法。在实验内容安排上考虑与理论教学的同步,注重学生实际工程设计能力的培养,减少验证性实验,增加设计性和综合性实验,增加了数字电路应用设计。

目录:

第1章数字电路实验基础(1)

1.1 概述(1)

1.2 实验的基本过程(2)

1.2.1 实验预习(2)

1.2.2 实验中的EDA仿真(2)

1.2.3 实验操作规范(3)

1.2.4 布线原则(3)

1.2.5 数字电路测试(4)

1.2.6 数字电路的故障查找和排除(4)

1.2.7 实验记录和实验报告(6)

1.3 数字集成电路简介(7)

1.3.1 概述(7)

1.3.2 TTL集成电路的特点和工作条件(7)1.3.3 TTL集成电路使用须知(8)

1.3.4 CMOS集成电路的特点(8)

1.3.5 CMOS集成电路使用须知(9)

1.3.6 数字IC器件的封装(9)

1.3.7 数字电路逻辑状态(9)

1.4 数字电路常用仪表的使用(10)

1.4.1 数字示波器DS1052E (10)

1.4.2 ICT-33C数字集成电路测试仪(12)1.4.3 逻辑笔(16)

1.4.4 数字电路实验箱(17)

第2章集成逻辑门电路(19)

2.1 集成逻辑门电路实验目的与要求(19)2.2 集成逻辑门电路基础知识(19)

2.2.1 集成逻辑门电路的类型及特点(19)2.2.2 典型门电路芯片(20)

2.2.3 TTL门电路的主要参数(22)

2.2.4 集成门电路的使用规则(23)

2.3 门电路的EDA仿真(24)

2.4 集成逻辑门功能测试(26)

2.5 门电路故障的分析及诊断(30)

2.6 实验报告及思考题(31)

第3章组合逻辑电路(32)

3.1 全加器(32)

3.1.1 全加器实验目的与要求(32)

3.1.2 全加器基础知识(32)

3.1.3 全加器的EDA仿真(34)

3.1.4 全加器电路(36)

3.1.5 基于VHDL实现1位全加器(37)3.1.6 组合逻辑电路故障检测(38)

3.1.7 实验报告及思考题(38)

3.2 译码器(39)

3.2.1 译码器实验目的与要求(39)

3.2.2 译码器基础知识(39)

3.2.3 译码器的EDA仿真(44)

3.2.4 译码器电路(46)

3.2.5 基于VHDL实现的3-8线译码器(47)3.2.6 组合逻辑电路故障判断方法(48)

3.2.7 实验报告及思考题(49)

3.3 数据选择器(50)

3.3.1 数据选择器实验目的与要求(50)

3.3.2 数据选择器基础知识(50)

3.3.3 数据选择器的EDA仿真(53)

3.3.4 数据选择器电路(53)

3.3.5 基于VHDL实现的8选1数据选择器(56)3.3.6 实验报告及思考题(57)

第4章时序逻辑电路(59)

4.1 触发器(59)

4.1.1 触发器实验目的与要求(59)

4.1.2 触发器基础知识(59)

4.1.3 触发器的EDA仿真(62)

4.1.4 基本触发器电路(66)

4.1.5 基于VHDL实现的JK触发器(68)

4.1.6 触发器常见故障分析及诊断(69)

4.1.7 实验报告及思考题(69)

4.2 移位寄存器(69)

4.2.1 移位寄存器实验目的与要求(69)

4.2.2 移位寄存器基础知识(69)

4.2.3 移位寄存器的EDA仿真(72)

4.2.4 移位寄存器电路(77)

4.2.5 基于VHDL实现的8位移位寄存器(78)4.2.6 移位寄存器常见故障分析及诊断(79)

4.2.7 实验报告及思考题(80)

4.3 计数器(80)

4.3.1 计数器实验目的与要求(80)

4.3.2 计数器基础知识(80)

4.3.3 由D触发器构成4位异步二进制计数器的仿真及硬件实现(81)

4.3.4 常用集成计数器的仿真及功能测试(83)

4.3.5 N进制计数器的仿真及硬件实现(91)

4.3.6 基于VHDL实现的4位二进制计数器(96)

4.3.7 计数器常见故障分析及诊断(97)

4.3.8 实验报告及思考题(98)

第5章混合电路(99)

5.1 脉冲产生与整形电路(99)

5.1.1 实验目的与要求(99)

5.1.2 基础知识(99)

5.1.3 脉冲产生与整形电路的EDA仿真(109)

5.1.4 脉冲产生与整形电路的测试与设计(114)

5.1.5 实验报告及思考题(117)

5.2 模/数与数/模转换电路(117)

5.2.1 实验目的与要求(117)

5.2.2 基础知识(117)

5.2.3 模/数与数/模转换电路的仿真(119)

5.2.4 模/数与数/模转换电路的测试与设计(122)

5.2.5 实验报告及思考题(125)

5.3 半导体存储器——静态随机存储器实验(125)5.3.1 实验目的与要求(125)

5.3.2 存储器基础知识(125)

5.3.3 存储器的EDA仿真(127)

5.3.4 存储器的测试(129)

5.3.5 实验报告及思考题(130)

第6章数字电路应用设计(132)

6.1 数字电路设计概述(132)

6.1.1 数字电路设计流程(132)

6.1.2 数字电路设计方法(132)

6.1.3 数字电路设计性实验报告撰写(134)

6.2 交通信号灯自动定时控制系统(134)

6.2.1 控制系统的功能要求(134)

6.2.2 控制系统方案设计(135)

6.2.3 电路设计(135)

6.2.4 组装与调试(139)

6.2.5 相关题目(139)

6.3 拔河游戏机(139)

6.3.1 拔河游戏机的功能要求(139)

6.3.2 拔河游戏机的方案设计(140)

6.3.3 电路设计(140)

6.3.4 组装与调试(142)

6.4 循环彩灯控制器的设计(142)

6.4.1 控制器的功能要求(142)

6.4.2 电路设计(142)

6.4.3 组装与调试(143)

6.4.4 基于VHDL设计的循环彩灯控制器(143)6.4.5 相关题目(144)

6.5 数字频率计设计(146)

6.5.1 数字频率计的功能要求(146)

6.5.2 数字频率计的方案设计(146)

6.5.3 电路设计(147)

6.5.4 组装与调试(148)

6.6 多路智力竞赛抢答器设计(148)

6.6.1 抢答器的功能要求(148)

6.6.2 抢答器的方案设计(148)

6.6.3 电路设计(149)

6.6.4 组装与调试(151)

6.7 时钟类应用电路设计(152)

6.7.1 基本单元电路(152)

6.7.2 数字钟电路设计(153)

6.7.3 数码显示星期历电路设计(154)

6.7.3 数码显示星期历电路设计(154)

6.7.4 数码显示精密定时电路设计(155)

第7章数字电路实验常用软件及器件(159)

7.1 MULTISIM11电路仿真(159)

7.1.1 Multisim11简介(159)

7.1.2 Multisim11用户界面(160)

7.1.3 Multisim11的基本操作(165)

7.1.4 Multisim11虚拟数字仪表(179)

7.1.5 Multisim11在数字电路中的仿真流程(188)7.2 可编程逻辑器件简介(190)

7.2.1 可编程逻辑器件的基本概念(190)

7.2.2 可编程逻辑器件的基本结构(190)

7.2.3 EP4CE6E22C8简介(192)

7.3 VHDL语言简介(195)

7.3.1 VHDL的基本语法规则(196)

7.3.2 VHDL基本描述语句(197)

7.3.3 VHDL基本语法结构(197)

7.3.4 常见基本数字电路的VHDL实现(198)7.4 PLD开发软件QUARTUSⅡ的使用(199)7.4.1 Quartus?Ⅱ概述(199)

7.4.2 Qua rtusⅡ软件电路设计流程(199)

7.4.3 基于Quartus?Ⅱ的VHDL电路设计(201)附录(210)

附录A 部分常用TTL集成电路说明(210)附录B 部分常用CMOS集成电路说明(212)附录C 部分TTL集成电路引脚排列(214)附录D 部分CMOS集成电路引脚排列(219)参考文献(222)

实验3-组合逻辑电路数据选择器实验

南通大学计算机科学与技术学院计算机数字逻辑设计 实验报告书 实验名组合逻辑电路数据选择器实验 班级_____计嵌151_______________ 姓名_____张耀_____________________ 指导教师顾晖 日期 2016-11-03

目录 实验一组合逻辑电路数据选择器实验 (1) 1.实验目的 (1) 2.实验用器件和仪表 (1) 3.实验内容 (1) 4.电路原理图 (1) 5.实验过程及数据记录 (2) 6.实验数据分析与小结 (9) 7.实验心得体会 (9)

实验三组合逻辑电路数据选择器实验 1 实验目的 1. 熟悉集成数据选择器的逻辑功能及测试方法。 2. 学会用集成数据选择器进行逻辑设计。 2 实验用器件和仪表 1、8 选 1 数据选择器 74HC251 1 片 3 实验内容 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用 3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的电路。 4 电路原理图 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用

3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的 电路。 5 实验过程及数据记录 1、基本组合逻辑电路的搭建与测量 用 2 片 74LS00 组成图 3.1 所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

图 3.1 组合逻辑电路 (2)先按图 3.1 写出 Y1、Y2 的逻辑表达式并化简。 Y1==A·B ·A =A + A·B=A + B Y2=B·C ·B·A = A · B+ B ·C (3)图中 A、B、C 接逻辑开关,Y1,Y2 接发光管或逻辑终端电平显示。(4)改变 A、B、C 输入的状态,观测并填表写出 Y1,Y2 的输出状态。 表 3.1 组合电路记录

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

实验3-1 时序逻辑电路设计

实验3 时序逻辑电路设计(1) 实验内容与步骤: 1.设计一个4路扭环计时器电路。 要求:计数器的状态每隔1S变换一次;利用LED1-LED4(低电平驱动)显示计数器。 实验步骤 1)新建工程文件夹; 2)启动Quartus II; 3)选择File->New Project Wizard,建立新工程; 4)要求:工程名与顶层实体名为johnson,器件选择“Cyclone”中的EP1C6Q240C8 5)File->New->Verilog HDL File建立Verilog设计文件; module johnson(clk,led); input clk;//输入时钟信号 output [3:0] led;//输出计数器计数状态,对应于开发板中的LED1-LED4,低电平点亮reg [3:0] led 6)选择Processing->Start->Start Analysis&Elaboration对源程序进行语法分析;6)选择Processing->Start->Start Analysis&Synthesis进行电路综合; 7)选择Tools->Netlist Viewers->RTL Viewer,查看综合后得到的电路; 8)选择Assignments->Pins进行器件引脚分配; 序号信号引脚编号 1 led[0](对应于开发板LED1) 50 2 led1[1](对应于开发板LED2) 53 3 led2[2](对应于开发板LED3) 54 4 led3[3](对应于开发板LED4) 55 5 clk(48MHZ时钟信号输入) 28 9)选择Assignments->Device,选择“Device and Pin Options”按钮,在打开的“Device and Pin Options”对话框中,选择“Unused Pins”选项卡,从中选择“As input tri-stated”选项。10)选择Processing->Start->Start Fitter进行器件适配; 11)选择Processing->Start->Start Assembler生成下载文件; 12)连接好实验箱中的跳线,并将实验箱与计算机相连,并打开实验箱电源; 13)选择Tools->Porgrammer选项,将设计文件下载到FPGA中,并观察实验结果。

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

实验三 组合逻辑电路

实验三组合逻辑电路

实验三 组合逻辑电路 一、实验目的 1. 通过简单的组合逻辑电路设计与调试,掌握采用小规模(SSI )集成电路设计组合逻辑电路的方法。 2. 用实验验证所设计电路的逻辑功能。 3. 熟悉、掌握各种逻辑门的应用。 二、实验原理 组合逻辑电路是最常见的逻辑电路之一,可以用一些常用的门电路来组合成具有其他功能的门电路。组合逻辑电路在逻辑功能上的特点是任意时刻的输出仅仅取决于该时刻的输入,而与电路过去的状态无关。在电路结构上的特点是只包含门电路,而没有存储(记忆)单元。在使用中、小规模集成电路来设计组合电路时,一般步骤如图3-1所示: 1. 进行逻辑抽象,首先根据设计任务的要求建立输入、输出变量,列出其真 设计要求 真值表 逻辑抽象逻辑表达式 卡诺图 最简逻辑表达式 逻辑电路图 代数法化减 卡诺图法化减

值表。 2. 用卡诺图或代数法化简,求出最简逻辑表达式。 3. 根据简化后的逻辑表达式,画出逻辑电路图。 若已知逻辑电路,欲分析组合电路的逻辑功能,则分析步骤为: 1. 由逻辑电路图写出各输出端的逻辑表达式。 2. 由逻辑表达式列出真值表。 3. 根据真值表进行分析,从而确定电路功能。 组合电路的设计过程是在理想情况下进行的, 即假设一切器件均没有延迟效应。图3-1 组合逻辑电路设计流程图 三、实验仪器及器件 1. EL-ELL-Ⅳ型数字电路实验系统 2. 集成电路芯片:74LS00 1

2 74LS04 74LS86等 四、实验内容及步骤 1. 测试用异或门和与非门组成的半加器的逻辑功能 如果不考虑来自低位的进位而能够实现将两个1位二进制数相加的电路,称为半加器,半加器的符号如图3-2所示。 半加器的逻辑表达式为: AB CO B A B A B A S =⊕=+= 根据半加器的逻辑表达式可知,半加和S 是输入A 、B 的异或,而进位CO 则为输入A 、B 相与,故半加器可用一个集成异或门和二个与非门组成,电路如图3-3所示。 &=1 1 CO S ΣCO A B S CO 图3-2 半加器符号 图3-3 异或门和与非门组成的半加器逻辑电路 在实验仪上用74LS00及74LS86按图3-3 接线,当输入端A 、B 为表3-1所列状态时,测

实验三 组合逻辑电路的设计(一)

实验三组合逻辑电路的设计(一) 一、实验目的 1.掌握用SSI器件设计组合逻辑电路的方法; 2.熟悉各种常用MSI组合逻辑电路的功能与使用方法; 3.掌握多片MSI组合逻辑电路的级联、功能扩展; 4.学会使用MSI逻辑器件设计组合电路; 5.培养查找和排除数字电路常见故障的初步能力。 二、实验器件 1.74LS00 四二输入与非门74LS20 双四输入与非门 2.74LS138 三线—八线译码器74LS139 双二线—四线译码器 三、实验原理 组合逻辑电路是最常见的逻辑电路,其特点是在任何时刻电路的输出信号仅取决于该时刻的输入信号,而与信号作用前电路原来所处的状态无关。组合逻辑电路的设计,就是如何根据逻辑功能的要求及器件资源情况,设计出实现该功能的最佳电路。 在采用小规模器件(SSI)进行设计时,通常将函数化简成最简与—或表达式,使其包含的乘积项最少,且每个乘积项所包含的因子数也最少。最后根据所采用的器件的类型进行适当的函数表达式变换,如变换成与非—与非表达式﹑或非—或非表达式﹑与或非表达式及异或表达式等。 在数字系统中,常用的中规模集成器件(MSI)产品有编码器﹑译码器﹑全加器﹑数据选择/分配器﹑数值比较器等。用这些功能器件实现组合逻辑函数,基本采用逻辑函数对比方法。因为每一种中规模集成器件都具有某种确定的逻辑功能,都可以写出其输出和输入关系的逻辑函数表达式。在进行设计时,可以将要实现的逻辑函数表达式进行变换,尽可能变换成与某些中规模集成器件的逻辑函数表达式类似的形式。 下来我们介绍一下使用中小规模器件设计组合逻辑电路的一般方法。 四、组合电路设计原则及其步骤 组合电路的设计是由给定的的逻辑功能要求,设计出实现该功能的逻辑电路,设计过程大致按下列步骤进行: (1)分析设计要求,把用文字描述的形式的设计要求抽象成输入、输出变量的逻辑关系;(2)根据分析出的逻辑关系,通过真值表或其他方式列出逻辑函数表达式; (3)根据题目提供给你的芯片,将逻辑函数化简到所需要的函数式; (4)画出逻辑电路图或电路原理图; 对于MSI组合逻辑电路的设计是以所用MSI个数最少、品种最少,同时MSI间的连线也最少作为最基本的原则。 下面使用中小规模器件我们各举一个例子: 例:用与非门设计三位多数表决器。 步骤:(1)根据真值表写出逻辑表达式: F = AB + BC + AC = AB BC AC (2)画出逻辑图,如图3—1所示

电子技术——几种常用的时序逻辑电路习题及答案

第七章 几种常用的时序逻辑电路 一、填空题 1.(9-1易)与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.(9-1易)触发器是数字电路中______(a.有记忆b.非记忆)的基本逻辑单元。 3.(9-1易)在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4.(9-1中)JK 触发器是________(a.CP 为1有效b.CP 边沿有效)。 5.(9-1易)1n n n Q JQ KQ +=+是_______触发器的特性方程。 6.(9-1中)1n n Q S RQ +=+是________触发器的特性方程,其约束条件为___________。 7.(9-1易)1n n n Q TQ TQ +=+是_____触发器的特征方程。 8. (9-1中)在T 触发器中,若使T=____,则每输入一个CP ,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T 触发器,它的特征方程是________________。 9.(9-1难)我们可以用JK 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器;令________________,即转换成D 触发器。 10.(9-1难)我们可以用D 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器。

实验三 组合逻辑电路教学文案

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图3.1所示。 图3.1 组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.2所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图3.2 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.3所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图3.3 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.4所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图3.4 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表3.1。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图3.5所示。

时序逻辑电路练习题90281

一、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。 2.D 触发器的特性方程为___ ;J-K 触发器的特性方程为______。 3.T触发器的特性方程为。 4.仅具有“置0”、“置1”功能的触发器叫。 5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。 6. 若D 触发器的D 端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应 为。 7.JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息 需要个触发器。 9.时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为___ ___计数器和____ __计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过 程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。 14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则 经过5个CP脉冲以后计数器的状态为。 15.将某时钟频率为32MHz的CP变为4MHz的CP,需要个二进制计数器。 16. 在各种寄存器中,存放N 位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二 进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要 个移位脉冲。 18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳 变为1态,因此其稳态为态,暂稳态为态。 19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。 20.单稳态触发器在外加触发信号作用下能够由状态翻转到状 态。 21.集成单稳态触发器的暂稳维持时间取决于。 22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲 宽度,则占空比应为____ ___。 23.施密特触发器有____个阈值电压,分别称作___ _____ 和___ _____ 。 24.触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。 25.施密特触发器常用于波形的与。 二、选择题 1. R-S型触发器不具有( )功能。 A. 保持 B. 翻转 C. 置1 D. 置0 2. 触发器的空翻现象是指() A.一个时钟脉冲期间,触发器没有翻转 B.一个时钟脉冲期间,触发器只翻转一次 C.一个时钟脉冲期间,触发器发生多次翻转 D.每来2个时钟脉冲,触发器才翻转一次 3. 欲得到D触发器的功能,以下诸图中唯有图(A)是正确的。

实验三 时序逻辑电路的VHDL设计

实验三时序逻辑电路的VHDL设计 一、实验目的与要求 1、目的 (1)熟悉VHDL语言的编程方法 (2)学会利用VHDL语言设计实现时序逻辑功能器件的逻辑功能。 (3)总结体会VHDL语言的编程技巧方法 2、要求 (1)调试程序要记录调试过程中出现的问题及解决办法; (2)给出每个问题的算法或画出流程图; (3)编写程序要规范、正确,上机调试过程和结果要有记录,并注意调试程序集成环境的掌握及应用,不断积累编程及调试经验; (4)做完实验后给出本实验的实验报告。 二、实验设备、环境 PII以上计算机,装有QuartusII软件 三、方法与步骤 (一)教师简单回顾所需知识并演示较一个简单功能的实现过程。 1、简单回顾组合逻辑电路的特点及常用逻辑功能器件的功能 2、回顾QuartusII的VHDL操作步骤 3、以JKFF为例,重点演示该时序逻辑单元的VHDL设计过程。 (1)JKFF的参考VHDL源程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY JK_FF IS PORT(J,K:IN STD_LOGIC; CLK:IN STD_LOGIC; Q:OUT STD_LOGIC); END JK_FF; ARCHITECTURE A OF JK_FF IS SIGNAL QTMP:STD_LOGIC; SIGNAL J_K:STD_LOGIC_VECTOR(0 TO 1); BEGIN J_K<=J&K; PROCESS(CLK,J_K) BEGIN IF CLK'EVENT AND CLK='1' THEN CASE J_K IS WHEN "00"=>NULL;

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

第3章组合逻辑电路1

第 3章 组合逻辑电路 逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路), 另一类是时序逻辑电路(简称时序电路)。所谓组合电路是指电路在任一时刻的输出状态只与同一时刻各输入状态的组合有关,而与前一时刻的输出状态无关。组合电路的示意图如图所示。组合逻辑电路的特点: (1) 输出、输入之间没有反馈延迟通路。 (2) 电路中不含记忆元件。 图 组合电路示意图 组合逻辑电路的分析方法和设计方法 (1)3.1.1组合逻辑电路的分析方法 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计 是否合理。 组合逻辑电路的分析步骤如下: (1) 根据已知的逻辑图, 从输入到输出逐级写出逻辑函数表达式。 (2) 利用公式法或卡诺图法化简逻辑函数表达式。 (3) 列真值表, 确定其逻辑功能。 例 1 分析如图所示组合逻辑电路的功能。 解(1) (2)化简 (3) 例真值表:如表3·1所示 图 例 1 的逻辑电路 X 1X 2 X n 12 m 输入信号 输出信号 AC BC AB Y ??=AC BC AB Y ++= A B B C A C Y

表例1的真值表 由表可知,若输入两个或者两个以上的1(或0), 输出Y为1(或0), 此电路在实际应用中可作为多数表决电路使用。 例 2分析如图所示组合逻辑电路的功能。 解(1) 写出如下逻辑表达式: (2) 化简AB Y= 1 AB A Y A Y? = ? = 1 2 B AB B Y Y? = ? = 1 3 B AB AB A Y Y Y? ? = = 3 2 B AB AB A Y? ? = ) ( ) (B AB AB A+ ? + = AB B A+ = B A⊕ =

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

实验一组合逻辑电路设计

电子信息工程刘晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个邮件优先级区分器。该公司收到有A,B,C,三类邮件,A,类的优先级最高,B类次之,C类最低。邮件到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的邮件同时到达时,对优先级最高的邮件先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验内容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数

实验三时序逻辑电路

实验三时序逻辑电路 学习目标: 1、掌握时序逻辑电路的一般设计过程 2、掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求 3、掌握时序逻辑电路的基本调试方法 4、熟练使用示波器和逻辑分析仪观察波形图 实验内容: 1、广告流水灯(第 9 周课内验收)用触发器、组合函数器件和门电路设计一个广告流水灯,该流水灯由 8 个 LED 组成,工作时始终为 1 暗 7 亮,且这一个暗灯循环右移。 (1) 写出设计过程,画出设计的逻辑电路图,按图搭接电路 (2) 将单脉冲加到系统时钟端,静态验证实验电路 (3) 将 TTL 连续脉冲信号加到系统时钟端,用示波器观察并记录时钟脉冲 CP、触发器的输出端 Q2、Q1、 Q0 和 8 个 LED 上的波形。 2、序列发生器(第 10 周课内实物验收计数器方案)分别用 MSI 计数器和移位寄存器设计一个具有自启动功能的 01011 序列信号发生器 (1) 写出设计过程,画出电路逻辑图 (2) 搭接电路,并用单脉冲静态验证实验结果 (3) 加入 TTL 连续脉冲,用示波器观察观察并记录时钟脉冲 CLK、序列输出端的波形。 3、4 位并行输入-串行输出曼切斯特编码电路(第10周课内验收,基础要求占70%,扩展要求占30%) 在电信与数据存储中, 曼彻斯特编码(Manchester coding),又称自同步码、相位编码(phase encoding,PE),它能够用信号的变化来保持发送设备和接收设备之间的同步,在以太网中,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码用电压的变化来分辨 0 和 1,从高电平到低电平的跳变代表 0,而从低电平到高电平的跳变代表 1。信号的保持不会超过一个比特位的时间间隔。即使是 0 或 1 的序列,信号也将在每个时间间隔的中间发生跳变。这种跳变将允许接收设备的时钟与发送设备的时钟保持一致,图 3.1 为曼切斯特编码的例子。 设计一个电路,它能自动加载 4 位并行数据,并将这4位数据逐个串行输出(高位在前),每个串行输出位都被编码成曼切斯特码,当 4 位数据全部传输完成后,重新加载新数据,继续传输,如图 3.2 所示。

实验3 组合逻辑电路

实验三组合逻辑电路 一、实验目的 1.掌握组合逻辑电路的设计方法 2.熟悉常用组合逻辑器件的使用方法 3.熟悉用逻辑门电路、74LS138和74LS151进行综合性设计的方法 二、试验设备和器件 设备:数字电子技术试验箱 器件:74LS00,74LS20,74LS86,74LS138,74LS151 三、实验内容 1.实现一位全加器 (1) 按照组合逻辑电路的一般设计步骤,用基本门电路(74LS00,74LS86)实现 一位全加器; (2) 用1片74LS138和1片74LS20实现一位全加器。 2. 设计一个监测信号灯工作状态的逻辑电路,每一组信号灯由红、黄、绿三盏构成,仅有红灯R亮、仅有绿灯G亮、黄灯Y和绿灯G同时亮为正常工作状态,其余为故障状态。故障状态时要发出报警信号。要求用74LS151实现。 (1) 逻辑抽象。红黄绿三盏信号灯的状态为输入变量,分别用R、Y、G表示,并规定灯亮时为1,灭时为0;故障信号为输出变量,用Z表示,并规定正常工作状态下Z为0,发生故障时Z为1; (2) 列真值表于表3-1;

(3) 根据真值表写出用最小项表示的Z的逻辑表达式; (4) 按照逻辑表达式进行电路连接,画出电路连接图,并对电路进行测试。 3. 设计并实现实验指导书中四、3的电话程控系统(选作) 四、实验报告 1.实验预习 (1) 熟练掌握组合逻辑电路的一般设计步骤; (2) 了解74LS00,74LS20,74LS86,74LS138,74LS151的功能表,引脚图和使用注意事项,熟练掌握使用它们实现逻辑函数的方法; (3) 完成实验的预习报告,包括:实验目的、试验设备、布置的实验内容及步骤、原始数据记录表格及设计电路。 2. 实验及数据处理 (1) 根据布置的实验内容认真完成实验中的各项任务,仔细观察实验中的各种现象并加以分析; (2) 完成真值表,记录实验数据并进行分析。 3. 思考题 (1) 3-8线译码器74LS138在正常工作状态下,输入011 ABC 时,哪一个译码输出端为有效电平?由此说明A、B、C中哪一个为高位输入端? (2) 若用74LS138译码器实现数据分配器,应选择74LS138的哪个引脚作为数据分配器的数据输入端? 4.实验的注意事项及主要经验教训

实验三vhdl时序逻辑电路设计

实验三 VHDL 时序逻辑电路设计 一、实验目的 1.熟悉用VHDL语言设计时序逻辑电路的方法 2.熟悉用Quartus文本输入法进行电路设计 二、实验所用仪器元件及用途 1.计算机:装有Quartus软件,为VHDL语言提供操作场所。 2.直流稳压电源:通过USB接口实现,为实验开发板提供稳定电源。 3.数字系统与逻辑设计实验开发板:使试验结果下载到开发板上,实现整个实验的最终结果。 三、实验内容 1.用VHDL语言设计实现一个8421码十进制计数器。 (1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求,并下载到实验板上验证试验结果。 (2)试验结果:VHDL代码和仿真结果。 2.用VHDL语言设计实现一个分频系数为8,分频输出信号占空比为50%的分频器。 (1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求。 (2)试验结果:VHDL代码和仿真结果。 3.用VHDL语言设计实现一个控制8个发光二极管亮灭的电路。 (1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求,并下载到实验板上验证试验结果。 a.单点移动模式:一个点在8个发光二极管上来回的亮 b.幕布式:从中间两个点,同时向两边依次点亮直至全亮,然后再向中间 点灭,依次往复 c.通过拨码开关或按键控制两种模式的转换 (2)试验结果:VHDL代码和仿真结果。 四、实验设计思路及过程 1.8421码十进制计数器状态转移表 左图为8421码十进制 计数器的状态转移表,abcd 为初状态,ABCD为下一状 态,每当有“1”出现时, 相应的管脚就亮灯,从而从 0000到1001的灯依次出 现。 VHDL代码如下: LIBRARY IEEE;

3组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号.与该时刻的输入信号 有关 .与以前的输入信号 无关 。 2.在组合逻辑电路中.当输入信号改变状态时.输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I .输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时.输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时.当输入A 2A 1A 0=001时.输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器.输入信号为两个要比较的一位二进制数.用A 、B 表示.输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ).则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加.并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√.× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险.以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时.输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时.处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中.若输入有4位代码.则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0.当A >B 时输出F =1.则F 表达式是 。

实验三组合逻辑电路multisim仿真设计

实验四组合逻辑电路Multisim仿真设计 一、实验目的 1、掌握组合逻辑电路的特点 2、利用逻辑转换仪对组合逻辑电路进行分析与设计 二、实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时候的输出仅仅取决于同一时刻的输入信号的取值组合。 根据电路确定功能,是分析组合逻辑电路的过程,其步骤如下:组合逻辑电路→推导→逻辑表达式→化简→最简表达式→列表→真值表→分析→确定电路功能。 根据要求求解电路,是设计组合逻辑电路的过程,其步骤如下:问题提出→分析→真值表→归纳→逻辑表达式→化简变换→逻辑图。 逻辑转换仪是Multisim中常用的数字逻辑电路分析和设计仪器。 三、仿真例题 1、利用逻辑转换仪对已知逻辑电路进行分析 电路图如下: 图待分析逻辑电路 分析结果如下:

图 逻辑分析仪输出结果 四、思考题 1、设计一个四人表决电路,即如果3人或3人以上同意,则通过;否则被否决。用与非门实现。 解:用ABCD 分别表示四人的表决结果,1表示同意,0表示不同意。则利用逻辑分析仪可以输入如下真值表,并得到如下表达式: L=ACD+ABD+ABC+BCD 图 逻辑分析仪得到的真值表和表达式 得到如下电路图: A B C 14 11 13 1 12 3 210 9 68754图 利用逻辑分析仪得到的与非门设计的表决电路 2、利用逻辑转换仪对下图所示电路进行分析。

XLC1 A B U1A 74LS04D U1B 74LS04D U1C 74LS04D U2A 74LS00D U2B 74LS00D 2 U3A 74LS10D U3B 74LS10D 1 4 3 6 5 7 8 9 10 图 待分析的逻辑电路 解:通过逻辑分析仪可以得到如下结果: 图 逻辑分析仪输出结果 得到逻辑表达式为:L AC BC ABC =++

实验二 时序逻辑电路的设计[1]

实验二 时序逻辑电路的设计 一、实验目的: 1、 掌握时序逻辑电路的分析方法。 2、 掌握VHDL 设计常用时序逻辑电路的方法。 3、 掌握时序逻辑电路的测试方法。 4、 掌握层次电路设计方法。 5、 理解时序逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、时序逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 2、同步时序逻辑电路的设计方法 同步时序逻辑电路的设计是分析的逆过程,其任务是根据实际逻辑问题的要求,设计出能实现给定逻辑功能的电路。同步时序电路的设计过程: (1)根据给定的逻辑功能建立原始状态图和原始状态表。 ①明确电路的输入条件和相应的输出要求,分别确定输入变量和输出变量的数目和符号; ②找出所有可能的状态和状态转换之间的关系; ③根据原始状态图建立原始状态表; (2)状态化简---求出最简状态图。 合并等价状态,消去多余状态的过程称为状态化简。 等价状态:在相同的输入下有相同的输出,并转换到同一个次态去的两个状态称为等价状态。 (3)状态编码(状态分配)。 给每个状态赋以二进制代码的过程。 根据状态数确定触发器的个数,n n M 221-≤∠(M 为状态数;n 为触发器的个数)。 (4)选择触发器的类型。 (5)求出电路的激励方程和输出方程。 (6)画出逻辑图并检查自启动能力。 3、时序逻辑电路的特点及设计时的注意事项 ①时序逻辑电路与组合逻辑电路相比,输出会延时一个时钟周期。 ②时序逻辑电路一般容易消除“毛刺”。 ③用VHDL 描述时序逻辑电路时,一般只需将时钟信号和异步控制(如异步复位)信号作为敏感信号。

相关文档
最新文档