电梯控制器课程设计

电梯控制器课程设计
电梯控制器课程设计

1 绪论

当今世界,部分地区人口高度密集,人和土地资源短缺的矛盾日益激化。这就注定了必须合理的利用土地去解决人与土地的矛盾。而兴建高层建筑是其中有效措施之一。因此能使人们快速便捷地到达目的楼层的电梯就应运而生了。

电梯作为高层建筑的重要交通工具与人们的工作日益紧密

FPGA/CPLD作为新一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一。

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA 技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可行性,减轻了设计者的劳动强度。

随着EDA技术的快速发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。它是一种实现电子系统或电子产品自动化设计的技术,与电子技术、微电子技术的发展密切相关,并吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。传统单片机设计的电梯控制外围电路复杂,性能不稳定,而采用EDA设计,却拥有电子系统小型化、低

功耗、高可靠性、开发过程投资小、周期短等优点,而且还可以通过软件编程对硬件结构和工作方式进行重构,使得硬件设计如软件设计那般方便快捷。本次设计就是应用EDA电子电路技术来设计电梯控制器,从而使用一片芯片就可以实现对电梯的控制的。

电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL 语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性。

2 电梯控制器的设计

2.1 设计要求

(1)设计一个三层楼房自动电梯控制器,用八个LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置;

(2)每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LE D 亮;

2.2 电梯功能

2.2.1 电梯运行规则

当电梯处在上升模式时,只响应比电梯所在位置高的上楼请求,由下向上逐个执行,直到最后一个上楼请求执行完毕。如果高层有下楼请求,直接升到有下楼请求的最高楼层,然后进入下降模式。

(1)请求信号分析:电梯的请求信号可分为梯内请求和梯外请求。

(2)电梯处于各楼层时的运行情况。

①处于一层时,不管是梯内梯外,电梯都只能接收上升的请求信号。此后,电梯就进入预上升状态,准备作上升运行。如果电梯没有接收到请求信号,则电梯在一层待机,其分析图如图2所示。

图2-1电梯处于一层时运行情况分析

②处于二层时,电梯则可能出现以下三种情况:①电梯没有接收到梯内梯外的任何请求信号时,则电梯在二层待机;②电梯接收到上升请求信号,进入预上升状态;③电梯接收到下降请求信号,进入预下降状态,其分析图如图3所示。

图2-2 电梯处于二层时的运行情况分析

③处于三层时,不管是梯内梯外,电梯都只能接收到下降的请求信号。此时,电梯就进入预下降状态,准备作下降运行。如果电梯没有接收到请

求信号,则电梯在三层待机,其分析图如图4所示。

图2-3 电梯处于三层时的运行可能分析

(3)对电梯开门、关门等内部信号处理的分析,其流程如图6所示。

图2-4 电梯内外信号处理

当电梯接收到请求信号后,运行到发出请求的楼层。运达后,开门使能信号促使电梯开门载客,同时驱动关门延时信号。在电梯进行完关门倒数计后,关门使能信号将促使电梯关门状态,然后上升或下降运行。

2.2.2 电梯整体设计

电梯控制器采用状态机来实现,思路比较清晰。可以将电梯等待的每秒钟以及开门、关门都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个统一的1秒为周期的时钟来触发状态机。根据电梯的实际工作情况,可以把状态机设置10个状态,分别是“电梯停留在第1层”、“开门”、“关门”、“开门等待第1秒”、“开门等待第2秒”、“开门等待第3秒”、“开门等待第4秒”、“上升”、“下降”和“停止状态”。各个状态之间的转换条件可由上面的设计要求所决定。

电梯初始状态为一层,处在开门状态,开门指示灯亮。

一层电梯入口处设有上楼请求开关,二层电梯入口设有上、下楼请求开关,三层电梯入口处设有下楼请求开关,电梯内部设有乘客到达楼层的停站请求开关及其显示。

设置电梯所处位置指示(用数码管表示)及电梯上升或下降指示。

电梯到达有停站请求的楼层后,电梯门打开,开门指示灯亮。开门4秒后,电梯门关闭,开门指示灯灭,电梯继续运行,直至执行完最后一个请求信号后停在当前层。

电梯控制系统能记忆电梯内外的请求信号,并按照电梯运行规则工作,每个请求信号执行完毕后随即清除。

2.3 端口设计说明

(1)由功能要求得到本程序设计的端口必须包括:

时钟信号(fclk);

一楼电梯外的上升请求信号(up1);

二楼电梯外的上升请求信号(up2);

二楼电梯外的下降请求信号(down2);

三楼电梯外的下降请求信号(down3);

电梯内部到达楼层的请求信号(stop1、stop2、stop3);

电梯内部关门请求信号(close);

所有输入信号等于1,表示有请求,等于0,表示无请求;

电梯外部上升指示灯(uplight)和下降请求指示灯(downlight),它们与up1、up2、down2、down3信号相对应;

电梯内部乘客到达楼层的停站请求灯(stoplight),该信号与stop1、stop2和stop3信号相对应;

电梯运行模式指示(udsig),1代表下降模式,0代表上升模式;

电梯所在楼层指示(position),表示电梯在对应楼层;

电梯所在楼层数码管表示(dout),该信号与position信号相对应;

电梯门状态灯 (doorlight),1表示开门,0表示关门。

(2)该设计中有8个LED等:电梯外部上升指示灯(uplight),一楼二楼各一个;下降请求指示灯(downlight)二楼三楼各一个;电梯内部乘客到达楼层的停站请求灯(stoplight)分别指示一楼二楼三楼;电梯门状态灯(doorlight)指示电梯门的开关。

2.4 程序设计

代码由三大部分组成:库声明,实体,结构体。

2.4.1 库声明部分

调用VHDL库,通过library语句本程序应用了VHDL库中的”通用ieee 库”和”标准std库”。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

2.4.2 实体部分

实体部分列出了代码所用到的所用输入输出端口。主控制器系统由VHDL语言实现,其输入输出端口定义如下:

entity flift is

port(fclk,up1,up2,down2,down3,stop1,stop2,stop3,close:in std_logic;

uplight:buffer std_logic_vector(2 downto 1); ---电梯外部1.2楼上请求downlight:buffer std_logic_vector(3 downto 2); ---电梯外部2.3楼下请求stoplight:buffer std_logic_vector(3 downto 1); ---电梯内部请求udsig:buffer std_logic; ---电梯的状态,0代表(预)上升,1代表(预)下降position:buffer integer range 1 to 3; ---电梯所在楼层doorlight:out std_logic; ---门灯,开门灯亮,关门等灭dout:out std_logic_vector(3 downto 0)); ---用以实现楼层数字显示end flift;

2.4.3 结构体部分

结构体部分共使用了分频进程,电梯状态进程,读按键、控制指示灯进程和楼层显示进程4个进程。

使用状态机来实现电梯功能。

在电梯状态进程中,在电梯的上升状态中,通过对信号灯的判断,决定下一个状态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定下一个状态是继续下降还是停止;在电梯停止状态中,通过对信号灯的判断,决定电梯是上升、下降还是停止。

状态机进程的运行基于电梯时钟fliclk,此时钟周期是外部时钟周期的十六倍;按键设定进程基于按键时钟buttonclk,此时钟的周期为外部时钟周期的两倍。按键时钟周期比电梯时钟周期短,这就意味着按键设定进程比状态机进程快,这就保证了每一次按键都能扫描并保留而不会被状态机进程中的清零程序消除。

按键后产生的点亮的信号灯(逻辑值为‘1’)用于作为状态机进程中的判断条件,而clearup和cleardn信号为逻辑‘l’使得相应的信号灯熄灭。

分频进程:该进程的目的是产生不同频率的两个时钟,电梯控制时钟fliclk和按键控制时钟buttonclk。

process(fclk) ---产生电梯控制时钟fliclk和按键控制时钟buttclk

begin

if (fclk'event and fclk='1') then q<=q+1;

end if;

buttonclk<=q(0);

fliclk<=q(3);

end process;

状态机进程:该部分是整个设计的核心,根据电梯的工作模式,将电梯的工作分为10个状态,分别为停一层stopno1、开门状态dooropen、关门状态doorclose、开门等待1秒wait1、开门等待2秒wait2、开门等待3秒wait3、开门等待4秒wait4、上升up、下降down和停止stop。在每个状态下,判断输入信号的请求,转入下一状态且产生对应得输出信号。

结构体部分分析

(1)停一层状态

当处于停一层状态时,电梯开门同时转入下一状态wait1。

when stoponl=>doorlight<='1'; position<=1; pos:=1;state<=wait1;

开门等待1秒:该状态时重新计入上升下降请求。如果此时有关门请求,则转入下一状态doorclose;如果没有请求,则下一状态为wait2。

when wait1=>clearup<='0'; cleardn<='0';

if(close='1') then state<=doorclose;

else state<=wait2;

end if;

开门等待2秒:如果此时有关门请求,则转入下一状态doorclose;如果没有请求,则下一状态为wait3。

开门等待3秒:如果此时有关门请求,则转入下一状态doorclose;如果没有请求,则下一状态为wait4。

开门等待4秒:该状态的下一状态为doorclose。

(2)上升模式

当处于上升模式,同时电梯位于三层,如果此时电梯的内部与外部都没有请求,电梯转为下降模式,并进入下一状态doorclose;如果此时电梯

内部有在三层下的请求或三层外部有下楼请求,电梯进入下一状态dooropen;否则电梯转为下降模式,并进入下一状态down。

if (position=3) then

if (stoplight="000" and uplight="00" and downlight="00" )

then udsig<='1'; state<=doorclose;

elsif(stoplight(3)='1' or downlight(3)='1')

then state<=dooropen;

else udsig<='1'; state<=down;

end if;

当处于上升模式,同时电梯位于二层,如果此时电梯的内部与外部都没有请求,电梯仍为上升模式,并进入下一状态doorclose;如果此时电梯内部有在二层下的请求或二层外部有下楼请求或上楼请求,电梯进入下一状态dooropen;如果此时电梯内部有在三层下的请求或三层外部有下楼请求,电梯仍为上升模式,并进入下一状态up;否则电梯转为下降模式,并进入下一状态down。

elsif (position=2) then

if(stoplight="000" and uplight="00" and downlight="00")

then udsig<='0'; state<=doorclose;

elsif (stoplight(3)='1' or downlight(3)='1')

then udsig<='0'; state<=up;

else udsig<='1'; state<=down;

end if;

当处于上升模式,同时电梯位于一层,如果此时电梯的内部与外部都没有请求,电梯仍为上升模式,并进入下一状态doorclose;如果此时电梯

内部有在一层下的请求或一层外部有上楼请求,电梯进入下一状态dooropen;否则电梯仍为上升模式,并进入下一状态up。

(3)下降模式

当处于下降模式,同时电梯位于一层,如果此时电梯的内部与外部都没有请求,电梯转为上升模式,并进入下一状态doorclose;如果此时电梯内部有在一层下的请求或一层外部有上楼请求,电梯进入下一状态dooropen;否则电梯转为上升模式,并进入下一状态up。

当处于下降模式,同时电梯位于二层,如果此时电梯的内部与外部都没有请求,电梯仍为下降模式,并进入下一状态doorclose;如果此时电梯内部有在二层下的请求或二层外部有下楼请求或上楼请求,电梯进入下一状态dooropen;如果此时电梯内部有在一层下的请求或一层外部有上楼请求,电梯仍为下降模式,并进入下一状态down;否则电梯转为上升模式,并进入下一状态up。

当处于下降模式,同时电梯位于三层,如果此时电梯的内部与外部都没有请求,电梯仍为下降模式,并进入下一状态doorclose;如果此时电梯内部有在三层下的请求或三层外部有下楼请求,电梯进入下一状态dooropen;否则电梯仍为下降模式,并进入下一状态down。

(4)电梯上升下降处理

上升:电梯所在楼层数加一。如果此时电梯在二层同时二层外部有上升或下降的请求或电梯内部有在二层下的请求,则进入下一状态stop。如果此时电梯在二层同时三层外部有下降的请求或电梯内部有在三层下的请求,则进入下一状态up;否则进入下一状态stop。

when up=>position<=position+1; pos:=pos+1;

if (pos=2 and (uplight(2)='1' or downlight(2)='1'or stoplight(2)='1' ))

then state<=stop;

elsif(pos=2 and (stoplight(3)='1' or downlight(3)='1'))

then state<=up;

else state<=stop;

end if;

下降:电梯所在楼层数减一。如果此时电梯在二层同时二层外部有上升或下降的请求或电梯内部有在二层下的请求,则进入下一状态stop。如果此时电梯在二层同时一层外部有上升的请求或电梯内部有在一层下的请求,则进入下一状态down;否则进入下一状态stop。

停止:该状态的下一状态为dooropen。

开门状态:电梯开门同时清除上升下降的请求,并转入下一状态wait1,其它的状态都转入下一状态stop。

如果清除上升的信号有效,则电梯内部相应楼层停止信号清除,同时电梯外部相应楼层上升信号清除;否则当有上升请求时相应的电梯外部上升指示灯亮。

if(clearup='1')

then stoplight(position)<='0'; uplight(position)<='0';

else

if(up1='1')then uplight(1)<='1';

elsif(up2='1')then uplight(2)<='1';

end if;

end if;

如果清除下降的信号有效,则电梯内部相应楼层停止信号清除,同时电梯外部相应楼层下降信号清除;否则当有下降请求时相应的电梯外部下

降指示灯亮。

如果停止信号有效,则相应的电梯内部停站请求灯亮。

if (stop1='1') then stoplight(1)<='1';

elsif (stop2='1') then stoplight(2)<='1';

elsif (stop3='1') then stoplight(3)<='1';

end if;

3 系统仿真

分几种情况分析:

(1)在三楼乘坐电梯到一楼

图3-1 系统仿真图(1)

初始时电梯停在一层position=1,上升模式udsig=0并保持开门状态,doorlight=1。外部没有请求时,电梯等待四秒后关门,doorlight变为0。三层有下楼请求并要求停在一层则先down3置1,downlight(3)=1。由于三层是下楼请求,而电梯此时是上升模式udsig=0,则电梯到二层时不停

position=2,直接运行到三层position=3,开门doorlight变为1,down3清零,downlight(3)=0。进入电梯后把stop1置1,stoplight(1)=1,等待4秒后自动关门doorlight变为0,转为下降模式udsig=1,下降到二楼position=2,继续下降到一楼position=1,stoplight(1)=0,开门doorlight=1,等待4秒后自动关门doorlight变为0。如图3-1所示

(2)在二楼乘坐电梯到三楼

图3-2 系统仿真图(2)

初始时电梯停在一层position=1,上升模式udsig=0并保持开门状态,doorlight=1。外部没有请求时,电梯等待四秒后关门,doorlight变为0。二层有上楼请求并要求停在三楼则先把up2置1,uplight(2)=1。由于二层是上楼请求,而电梯此时是上升模式udsig=0,则电梯到二层时停position=2,开门doorlight变为1,up2清零,uplight(2)=0,进入电梯后把stop3置1,stoplight(3)=1,等待4秒后自动关门doorlight变为0,继续上升到3楼position=3,stoplight(1)=0,开门doorlight=1,等待4秒后自动关门doorlight

变为0。如图3-2所示

(3)在三楼乘坐电梯到二楼

图3-3 系统仿真图(3)

初始时电梯停在一层position=1,上升模式udsig=0并保持开门状态,doorlight=1。外部没有请求时,电梯等待四秒后关门,doorlight变为0。三层有下楼请求并要求停在二层则先down3置1,downlight(3)=1。由于三层是下楼请求,而电梯此时是上升模式udsig=0,则电梯到二层时不停position=2,直接运行到三层position=3,开门doorlight变为1,down3清零,downlight(3)=0,进入电梯后stop2置1,stoplight(2)=1,等待4秒后自动关门doorlight变为0,转为下降模式udsig=1,下降到二楼position=2,stoplight(2)=0,开门doorlight=1,等待4秒后自动关门doorlight变为0。如图3-3所示

(4)在一楼乘坐电梯到二楼

图3-4 系统仿真图(4)

初始时电梯停在一层position=1,上升模式udsig=0并保持开门状态,doorlight=1。外部没有请求时,电梯等待四秒后关门,doorlight变为0。一层有上楼请求并要求停在三楼则先up1置1,uplight(1)=1。由于一层是上楼请求,而电梯此时是上升模式udsig=0,则电梯开门doorlight变为1,up1清零,uplight(1)=0。进入电梯后把stop2置1,stoplight(2)=1,等待4秒后自动关门doorlight变为0,上升到2楼position=2,开门doorlight=1,stoplight(2)=0,等待4秒后自动关门doorlight变为0。如图3-4所示

(5)在二楼乘坐电梯到一楼

图4-5 系统仿真图(5)

初始时电梯停在一层position=1,上升模式udsig=0并保持开门状态,doorlight=1。外部没有请求时,电梯等待四秒后关门,doorlight变为0。二层有下楼请求并要求停在一层则先down2置1,downlight(2)=1。由于二层是下楼请求,而电梯此时是上升模式udsig=0,则电梯到二层时停position=2,开门doorlight变为1,down2清零,downlight(2)=0,进入电梯后stop1置1,stoplight(1)=1,等待4秒后自动关门doorlight变为0,转为下降模式udsig=1,下降到一楼position=2,stoplight(1)=0,开门doorlight=1,等待4秒后自动关门doorlight变为0。如图3-5所示

4小结

我这篇课设基于VHDL语言设计的三层电梯控制器,并进行了仿真。在参考了许多相关资料后,充分理解了三层电梯的设计要求,基本上完成了任务要求:

(1)设计一个三层楼房自动电梯控制器,用八个LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置;

(2)每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED亮;

但本代码中可能仍存在一些不能解决的实际问题,许多工程实际问题不能完全用理论知识去解决,需要不断吸取实践经验,寻找解决问题方法。

用VHDL硬件描述语言的形式来进行三层电梯控制器的设计方便灵活,利用EDA软件进行编译优化仿真极大的减少了电路设计时间和可能发生的错误,降低了开发成本,相信这种设计方法将在未来的数字系统设计中发挥越来越重要的作用。

这一周的设计过程中,除找资料外,大多时间都是在电脑上进行的,通过反复的编译,仿真,不断试验来实现所得结果。在设计上,运用了EDA 自上而下的设计思想,逐步完善所设计功能,同时,用到VHDL语言中的状态机,多进程,CASE、IF….ELSE等语句,才达到以上结果。设计过程中也遇到了许多问题,如波形仿真上信号的设置等。

这个课程设计还有很多不足之处:

1、电梯各个进程依赖于2个不同的时钟,这样做虽然比较精确但是显得有些多余。

2、电梯控制程序只实现了三层楼电梯的控制,这种电梯在现实生活中应用很少,而且此程序不方便向更多层电梯控制器程序扩展。

通过此次的课程设计,我学到了很多知识,包括QuartusII和ModelSim 的使用和仿真,已经如何真正的运用学过的EDA知识,完成具有意义性的系统设计。培养了自学能力和动手能力。并且由原先的被动的接受知识转换为主动的寻求知识,这可以说是学习方法上的一个很大的突破。通过课程设计,我学会了如何将学到的知识转化为自己的东西,学会了怎么更好的处理知识和实践相结合的问题。

参考文献

[1] 潘松黄继业EDA技术实用教程科学出版社 2005

[2] 朱正伟EDA技术及应用清华大学出版社 2005.10

[3] 王振红VHDL数字电路设计与应用实践教程机械工业出版社 2003.6

[4] 梁勇王留奎EDA技术教程人民邮电出版社 2010

[5] 柳春锋电子设计自动化(EDA) 北京理工大学出版社 2005.

附录

代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity flift is

port(fclk,up1,up2,down2,down3,stop1,stop2,stop3,close:in std_logic;

uplight:buffer std_logic_vector(2 downto 1); ---电梯外部1.2楼上请求downlight:buffer std_logic_vector(3 downto 2); ---电梯外部2.3楼下请求stoplight:buffer std_logic_vector(3 downto 1); ---电梯内部请求udsig:buffer std_logic; ---电梯的状态,0代表(预)上升,1代表(预)下降position:buffer integer range 1 to 3; ---电梯所在楼层doorlight:out std_logic; ---门灯,开门灯亮,关门灯灭dout:out std_logic_vector(3 downto 0)); ---用以实现楼层数字显示end flift;

architecture behav of flift is

type state_type is(stoponl,dooropen,doorclose,wait1,wait2,wait3,wait4,up,down, stop); ---十个状态,初始,开门,关门,延时1~4,上升,下降,停signal state:state_type:=stoponl;

signal clearup,cleardn,buttonclk,fliclk:std_logic;

---上升清零,下降清零,按键时钟,电梯时钟signal q:std_logic_vector(3 downto 0);

begin

process(fclk) ---分频进程,产生电梯控制时钟fliclk和按键控制时钟buttonclk begin

if (fclk'event and fclk='1') then q<=q+1;

end if;

PLC课程设计课题——三层电梯模型PLC控制系统设计与调试

仅供个人参考 一、控制要求: 1.系统应具备:有司机、无司机、消防三种工作模式。 2.系统应具备下列几项控制功能: 1)自动响应层楼召唤信号(含上召唤和下召唤)。 2)自动响应轿厢服务指令信号。 3)自动完成轿厢层楼位置显示(二进制方式)。 4)自动显示电梯运行方向。 5)具有电梯直达功能和反向最远停站功能。 3.系统提供的输入控制信号: AYS 向上行驶按钮 AYX 向下行驶按钮 YSJ 有/无司机选择开关 1YC 一楼行程开关 2YC 二楼行程开关 3YC 三楼行程开关 A1J 一楼指令按钮 A2J 二楼指令按钮 A3J 三楼指令按钮 AJ 指令专用开关(直驶) ZXF 置消防开关 A1S 一楼上召唤按钮 A2S 二楼上召唤按钮 A2X 二楼下召唤按钮 A3S 三楼上召唤按钮 A3X 三楼下召唤按钮 4.系统需要输出的开关控制信号: KM 开门显示 GM 关门显示 MGB 门关闭显示 DCS 上行显示 DCX 下行显示 S 上行继电器(控制电动机正转) X 下行继电器(控制电动机反转) YX 运行显示 A LED七段显示器a段发光二极管 B LED 七段显示器 b 段发光二极管 C LE D 七段显示器 c 段发光二极管 D LED 七段显示器 d 段发光二极管 E LED 七段显示器 e 段发光二极管 F LED 七段显示器 f 段发光二极管 G LED七段显示器g段发光二极管 1DJA 一楼指令信号登记显示 2DJA 二楼指令信号登记显示 3DJA 三楼指令信号登记显示 1DAS 一楼上召唤信号登记显示 仅供个人参考 2DAS 二楼上召唤信号登记显示

2DAX 二楼下召唤信号登记显示 3DAS 三楼上召唤信号登记显示 3DAX 三楼下召唤信号登记显示 二、课题要求: 1.按题意要求,画出PLC 端子接线图及控制梯形图。 2.完成PLC 端子接线工作, 并利用编程器输入梯形图控制程序,完成调试。 3. 完成课程设计说明书 三、答辩问题: 1.阐明程序设计思想及工作流程。 2.当层楼数增加,开关量输入和输出的点数将作如何变化? 3.若需要电梯只服务于奇数楼层,梯形图将作如何变换? 4.若需要电梯只服务于偶数层楼,梯形图将作如何变换? 5.若正常运行方式作为方式A ,上述3、4 题运行方式作为方式B 、方式C 、方式 D , 如何采用两个输入开关来任选其中一个作为当前运行方式? 6.电梯控制中清除召唤登记的条件是什么? 7.电梯控制中清除指令登记的条件是什么? 线路部分

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

基于plc的三层电梯控制系统设计

摘要 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用PLC组成的控制系统可以很好地解决上述问题。本论文通过讨论电梯控制系统的组成,阐述可编程控制器(用三菱PLC编程的程序控制方式,提出了三层电梯的程、组成,列出了具体的主要硬件电路、电梯的控制梯形图及指令表。并给出了系统组成框图和程序流程图,在分析、处理随机信号逻辑关系的基础上,提出了计了一套完整的电梯控制系统方案。触点多,故障率高、可靠性差、安装调试周期长、维修工作量大、接线复杂等缺点。使电梯运行更加安全、方便、舒适。在PLC课程设计中,我组设计了一个三层电梯控制系统,并且将西门子公司S7-200系列可编程控制器与其结合并应用起来,在学完《电气控制与PLC应用》课程后,我们在设计过程中较为得心应手,不至于从头开始。整个过程包括了方案讨论,程序设计,程序修改,上机调试等,在程序设计方面花了比较多的时间,主要考虑到电梯分别停在一层、二层和三层时在其他楼层呼叫等各种情况。每当遇到困难时,我组都积极与老师联系讨论,深入分析研究问题,在整个过程中,我与我的组员都相互配合,相互学习。 关键字:PLC;电梯;升降;梯形图;系统组成框图

In this paper The elevator is an indispensable means of transport for the high-rise building, used for vertical transporting passengers and cargo, the traditional elevator control system mainly adopts relay - contactor to control, its shortcomings is the number of contact, such as high failure rate and poor reliability, maintenance workload is big, and composed of PLC control system is a good way to solve the above problems. Through discussing the composition of the elevator control system, this paper expounds the programmable controller (with mitsubishi PLC programming way of process control, puts forward the three layers of elevator ride, and lists the specific of the main hardware circuit, elevator control ladder diagram and instruction list. And the system composition block diagram and program flow chart is given, based on the analysis, processing, on the basis of random signal logic relation, put forward the plan for a complete set of the elevator control system scheme. Contact, high failure rate, poor reliability, installation and debugging cycle is long, maintenance workload, such as complex wiring faults. Make the elevator running more safe, convenient and comfortable. In the PLC course design, I have come up with a three layers of elevator group control system, and the Siemens S7-200 series programmable controller and its application and combining, after completing the curriculum, electrical control and PLC application we in the design process is relatively with ease, not from the beginning. The whole process including the solution discussion, program design, program changes, computer debugging, etc., spent more time on program design, main consideration to the elevator stop on the first floor, respectively the second and third floors in other situations such as floor call. Whenever encounter difficulties, I actively

PLC电梯控制课程设计报告

课程设计报告 题目:电梯控制课程设计 院 (系): 电气信息工程学院 专业:电气工程及其自动化 姓名: 学号: 年级: 11级电气一班

电梯控制课程设计 一.设计题目:电梯控制课程设计。 二.控制要求: 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿厢内设有楼层内选按钮S1-S4,用以选择需要停靠的楼层。L1为一层指示,L2为二层指示,L3为三层指示,L4为四层指示,SQ1-SQ4为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在三层轿厢外呼叫时,必须按三层上升呼叫按钮,电梯才响应呼叫(从一层运行到三层),按三层下降呼叫按钮无效;反之,若电梯停在四层,在三层轿厢外呼叫时,必须按三层下降呼叫按钮,电梯才响应呼叫(从四层运行到三层),按三层上升呼叫按钮无效,以此内推。 三.PLC的选型: 本次课程设计选用的PLC的型号为FX1N-40MR, FX1N系列是一种卡片大小的PLC,适合在小型环境中进行控制。它具有卓越的性能、串行通讯功能以及紧凑的尺寸,这使得它们能用在以前常规PLC无法安装的地方。输入点:24 输入点:16 继电器输出。它具有如下特点: 1.系统配置即固定又灵活; 2.编程简单; 3.备有可自由选择,丰富的品种; 4.令人放心的高性能; 5.高速运算; 6.使用于多种特殊用途; 7.外部机器通讯简单化; 8.共同的外部设备。 产品功能如下: 1、内置式24V直流电源:24V、400mA直流电源可用于外围设备,如传感器或其它元件。 2、时钟功能和小时表功能:在所有的FX1N PLC中都有实时时钟标准。时间设置和比较指令易于操作。小时表功能对过程跟踪和机器维护提供了有价值的信息。 3、持续扫描功能:为应用所需求的持续扫描时间定义操作周期。 4、输入滤波器调节功能:可以用输入滤波器平整输入信号(在基本单元中x000到x017)。 5、元件注解记录功能:元件注解可以记录在程序寄存器中 6、在线程序编辑:在线改变程序不会损失工作时间或停止生产运转 7、在线程序编辑:在线改变程序不会损失工作时间或停止生产运转 8、远程维护:远处的编程软件可以通过调制解调器通信来监测、上载或卸载程序和数据 9、密码保护:使用一个八位数字密码保护您的程序。

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

plc三层电梯控制设计

1. 三层电梯PLC控制系统设计 1.1实训目的 本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 1.2 实训容和控制要求 工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。 三层楼电梯的自动控制要求如下: (1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止; (2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止; (3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关

LS2停止; (4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止; (5)当电梯停于2F,而2F、3F按钮均有人呼叫时,电梯先上升到2F,由LS2控制暂停2S后,继续上升到3F,由LS3停止; (6)当电梯停于3F,而1F、2F按钮均有人呼叫时,电梯下降到2F,由LS2控制暂停2S后,继续下降到1F,由LS1停止; (7)在电梯上升途中,任何反方向的下降按钮呼叫均无效; (8)在电梯下降途中,任何反方向的上升按钮呼叫均无效; (9)每层楼之间的到达时间应在10s完成,否则电梯停机; (10)电梯的起始位置和程序的启动、停止运行自行设计。 1.3电梯的结构 1-减速箱2-曳引轮 3-曳引机底座4-导向轮 5-限速器6-机座 7-导轨支架8-曳引钢绳 9-开关碰铁10-紧急开关 11-导靴12-轿架 13-轿门14-安全钳 15-导轨16-绳头组合 17-对重18-补偿链

数字电子技术课程设计报告-电梯控制

! 题目名称:电梯控制 院系:电气工程学院 专业班级:电子工艺与管理 学生姓名:徐小可 学号: 41 指导教师:孙艳 完成时间:2012年11月30 目录 ` 摘要 0 一,设计目的及意义 (1) 目的: (1) 意义: (1) 二,整体设计 (2)

2.1 设计目标 (2) 设计要求 (2) 2.3 整体设计流程图 (3) : 三,电梯控制系统的实现 (3) 3.1 实现功能 (3) 3.2 实现电路 (4) 楼层的输入电路 (4) 555定时电路 (4) (5) (6) 3.3主要元器件介绍 (6) 。 译码器 (6) (7) D触发器 (8)

四,实验总结 (9) 4. 1 设计中主要出现的问题 (9) 4.2 尚待解决的问题 (9) 4. 3 心得体会 (10)

摘要 随着我国经济的高速发展,微电子技术、计算机技术数电技术也得到了迅速发展。电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。当今世界,电梯的使用量已成为衡量现代化程度的标志之一. 设计利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来表示四层楼。以此来设计了一个四层电梯控制系统。 关键字:D触发器74LS74;编码器74LS148;比较器74LS85;计数器74LS192;555定时器;数码管; 一,设计目的及意义 本设计是利用已学过的数电知识,设计的四层电梯控制系统。 目的: (1)重温自己已学过的数电知识;

EDA电梯控制器课程设计报告

成绩评定表

课程设计任务书

摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。 关键词:EDA技术电子信息通信自动控制

目录 1、设计要求概述 (1) 1.1 设计要求 (1) 1.2 总体设计思路 (1) 1.3 具体设计思路 (2) 2、功能模块整体结构设计 (2) 2.1 电梯控制器功能 (2) 2.2 电梯控制器设计 (3) 3、各模块详细设计 (3) 3.1底层模块设计 (3) 3.2 顶层模块设计 (6) 4、逻辑仿真与时序仿真的实现 (12) 4.1 底层设计模块的方针及参数设置 (12) 4.2 电梯分层控制模块的仿真及参数设置 (13) 4.3电梯主控制器仿真波形 (15) 5、设计结论 (15) 5.1 设计功能实现情况 (15) 5.2 设计心得 (16) 6、参考文献 (17)

1 电梯控制器的设计要求与设计思路 1.1 设计要求 十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。 设计要求如下: (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。 (7)电梯初始状态为一层开门状态 1.2 总体设计思路 实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。 (2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。 (3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

三层电梯控制设计

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC 可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 1.1 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量的活动元件和接线电子元件,它的接线大大减少,与此同时,系统的维修简单,维修时间短。PLC采用了一系列可靠性设计的方法进行设计,例如,冗余设计,断电保护,故障诊断和信息保护及恢复等,提高了MTBF,降低了MTTR,使可靠性提高。PLC是为工业生产过程控制而专门设计的控制装置,它具有比通用计算机控制更简单的编程语言,而为工业恶劣操作环境设计的硬件使可靠性大大提高。在PLC的硬件方面,采用了一系列提高可靠性的措施。例如,采用可靠性的元件,采用先进的工艺制造流水线制造,对于干扰的屏蔽、隔离和滤波等,对电源的断

三层电梯PLC控制系统设计方案报告

三层电梯PLC控制系统设计方案报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

摘要 本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

目录 第一章三层楼电梯自动控制 (3) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (7) 4.输入/输出端子接线图 (8) 5.运动形式分析 (8) 6.助记符 (15) 三.硬件配置设计 (19) 1. 电梯控制构成 (20) 2. 主电路 (21) 四.型号规格 (22)

PLC课程设计四层电梯控制(1)

PLC 课程设计四层电梯控制 实训目的 1. 掌握复杂输入输出控制系统的程序编程技巧 2. 掌握四层电梯控制系统的接线、调试、操作 序号 名 称 型号与规格 数量 备注 1 实训装置 THPFSL- 2 1 2 实训挂箱 A19-1 1 3 导线 3号 若干 4 通讯编程电缆 SC-09 1 二菱 5 实训指导书 THPFSL-1/2 1 6 计算机(带编程软件) 1 自备 电梯的电气控制系统由控制装置,操纵装置,平层装置,和位置显示装置等部分组成。 其中控制装置根据电梯的运行逻辑功能要求,控制电梯的运行,设置在机房中的控制柜上。 操纵装置是由轿厢内的按钮箱和厅门的召唤箱按钮来操纵电梯的运行的。 平层装置是发出平 层控制信号,使电梯轿厢准确平层的控制装置。 所谓平层,是指轿厢在接近某一楼层的停靠 站时,欲使轿厢地坎与厅门地坎达到同一平面的操作。 位置显示装置是用来显示电梯所在楼 层位置的轿内和厅门的指示灯,厅门指示灯还用尖头指示电梯的运行方向 四、 控制要求 1. 总体控制要求: 电梯由安装在各楼层电梯口的上升下降呼叫按钮 ( U1、U2、D2、 UP DO 邮J SL4 SL3 SL2 SL DM4 LP3 S4 □ S2 I S3 □ $1 □ 1關 1 f ] S3 r \ S2 1 I SI f 'll 1 I W i i 03 i i □2 1 1 1丨〕 o o 1 1 | U2 i I I JI 1 1 S04 1 I SQ3 1 I 1 1 1 | SQ 2 ! 1 I 1 1 5Q1 1 I 1 1 L4 I I 1 1 L3 1 I 1 1 1 1 口 Ll i i UP i i DOWN 1 1 Q n. 1 1 I DW I A3 DN2 LP3 I 1 1 (1 1 1 Q | UP2 1 ■ I I JP1 I I SL4 1 1 SL3 1 I 1 1 1 | SL2 1 1 SL1 1 .1 + COM 04 U3 D3 U2 02 JI DM3 UP2

PLC三层电梯课程设计报告书

中国石油大学胜利学院 电气控制及可编程控制技术 综合实验报告 必做题目:三层电梯自动控制 选做题目:四节传送带控制 学生:马金帅 学号: 201108011225 系别:机械与电气工程系 专业年级:2011级电气工程及其自动化本科2班 指导教师:王东起 2014年6月24日

一、设计任务与要求 1、要求:楼层呼叫按钮,一层一个:上。二层两个:上下。三层一个:下。桥箱呼叫按钮三个:一层,二层,三层。 到达指定楼层电梯停留10秒。 电梯在某一层停留时,有楼层显示。 20秒无人呼叫,电梯自动停留在1层。当电梯上升途中,任何反方向的的下降呼叫信号无效,当电梯下降途中,任何反方向的上升呼叫信号无效。 2、(二)四段传送带控制 (1)PLC上电后,按下启动按钮,四段传送带同时启动,四盏指示灯同时亮;(2)按下停止按钮,四段传送带同时停止,四盏指示灯同时灭 (3)按下四号传送带故障按钮,四号立即停止,四号指示灯立即灭,三号二号一号间隔五秒依次停;其 (4)按下三号故障按钮,四号三号立即停止,二号一号间隔五秒依次停止;(5)按下二号故障按钮,四号三号二号立即停止,一号五秒后停止; (6)当一号故障时,四号三号二号一号都立即停止。。 二、方案设计与论证 此次课程设计是电气控制与PLC原理及应用课程的实际应用,两个设计任务的具体要求中都运用了课程中所学的基本电路:起保停、自锁、互锁、单脉冲电路等,通过各个基本电路的连接,最终能够满足基本的要求,再通过对基本电路的拓展,就可以实现部分设计的附加功能。三层电梯自动控制设计共有14个输入信号,分别有楼层呼叫按钮,一层一个:上。二层两个:上下。三层一个:下。桥箱呼叫按钮三个:一层,二层,三层,等控制开关和按钮。16个输出信号,各层的指示灯和上下显示灯等。到达指定楼层电梯停留10秒。电梯在某一层停留时,有楼层显示。20秒无人呼叫,电梯自动停留在1层。当电梯上升途中,任何反方向的下降呼叫信号无效,当电梯下降途中,任何反方向的上升呼叫信号无效 三、设计方案 设计一:三层电梯自动控制I/O分配表

毕业设计基于plc的电梯控制系统设计课程设计

东北石油大学课程设计 2013年11月29 日

东北石油大学课程设计任务书 课程电气工程课程设计 题目基于PLC的电梯控制系统设计 专业姓名学号 主要内容: 为保证电梯运行既高效节能又安全可靠,必须改进电梯控制方式。根据顺序逻辑控制的需要发展起来的可编程控制器(PLC),它是专门为工业环境应用而设计的数字运算操作的电子装置。PLC处理速度快,可靠性高,能够保证电梯正常、安全、可靠地运行。同时,由于电机交流变频调速技术的发展,电梯的拖动方式己由原来直流调速逐渐过渡到变频调速,不仅能满足乘客的舒适感和保证平稳的精度,还可以降低能耗,节约能源,减小运行费用,本文将基于PLC的变频调速方法应用到电梯系统中。 参考资料: [1]叶安丽.电梯技术基础[M].北京:机械工业出版社,2007.65-80 [2]李秧耕,何乔治,何峰峰.电梯基本原理及安装维修全书[M].北京:机械工业出 版社,2003 [3]陈伟国.电梯的速度控制研究:硕十学位论文[D].杭州:浙江工业大学,2005 [4]李雪枫,武丽梅,李立新.电梯机械系统的动态特性分析[M].机械工程师,2007 [5]钟肇新,范建东.可编程序控制器原理及应用[J].广州:华南理工大学出版社,2002 成期限2013.11.18至2013.11.24 指导教师 专业负责人 2013年11 月29 日

目录 1 设计要求 (1) 2电梯设备简介 (1) 2.1电梯的分类 (1) 2.2电梯的主要参数 (1) 2.3电梯的安全保护装置 (2) 3 PLC电梯系统的选择及其控制系统的发展 (3) 3.1电力调速系统的应用与发展 (3) 3.2电机调速系统的设计 (3) 3.3异步电机的调速方法及经济技术比较 (4) 3.4井道信号系统的设计 (7) 3.5电梯控制系统的设计 (7) 3.6可编程控制器(PLC)的选型 (8) 3.7设计思路 (8) 4 系统软件开发 (12) 4.1电梯的自检状态 (12) 4.2电梯的正常工作状态 (12) 4.3系统的软件开发过程 (12) 4.4程序框图设计 (13) 参考文献 (15) 附录A电梯梯形图控制程序 (16)

三层电梯PLC控制系统设计报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

第一章三层楼电梯自动控制 (4) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (5) 4.输入/输出端子接线图 (6) 5.运动形式分析 (6) 6.助记符 (11) 三.硬件配置设计 (15) 1. 电梯控制构成 (16) 2. 主电路 (16) 四.型号规格 (16)

PLC课程设计 三层电梯

课程设计报告 题目三层电梯控制 课程名称PLC工业控制及应用院部名称机电工程学院 专业电气工程及其自动化班级10电气1班 学生姓名管志成 学号1004103027 课程设计地点C314 课程设计学时20 指导教师 金陵科技学院教务处制

可编程控制器作为一种工业控制微型计算机,它以其编程方便、操作简单尤其是它的高可控性等优点,在工业生产过程中得到了广泛的应用。它应用大规模集成电路,微型机技术和通讯技术的发展成果,逐步形成了具有多种优点和微型,中型,大型,超大型等各种规格的系列产品,应用于从继电器控制系统到监控计算机之间的许多控制领域。PLC总的发展趋势是:高功能、高速度、高集成度、大容量、小体积、低成本、通信组网能力强。 本课程设计基于西门子(SIEMENS)S7-200 PLC对三层电梯的控制进行了模拟,形成了电梯升降的系统PLC在电梯升降的过程中,主要体现在逻辑开关的功能。由于PLC具有逻辑运算、记数、定时以及输出输入输出的功能,在电梯升降的过程中各种逻辑开关控制与PLC很好的结合,对电梯实现了控制。 关键词:SIEMENS S7-200 PLC;电梯;升降

一、绪论 1.1 相关背景知识 (3) 1.2 课程设计的目的 (3) 1.3 课程设计的要求 (3) 1.4 课程设计的任务 (4) 二、电梯控制系统硬件设计 2.1 模拟装置介绍 (5) 2.2 选择机型 (6) 2.3 I/O分配表 (6) 2.4 电气接线图与主电路图 (6) 2.5电梯控制系统的安全保护 2.5.1 短路保护 (7) 2.5.2 过载保护 (7) 2.5.3 失电压保护 (7) 2.5.4 超程保护 (7) 三、电梯控制系统软件设计 3.1软件设计流程图及描述 (8) 3.2 源代码设计 3.2.1 梯形图LAD (9) 3.2.2 语句表STL (14) 3.3 系统调试 (16) 四、课程设计体会 (16) 五、参考文献 (16)

电梯控制系统(Java课程设计)内容

A1 系统描述 1.功能描述 本电梯系统用来控制一台运行于一个具有N层的大楼电梯,它具有上升、下降、开门、关门、载客的基本功能。 大楼的每一层都有: (1)两个指示灯这两个指示灯分别用于指示当前所在的层数和电梯的当前状态(上行、下行或停止); (2)电梯锁用于将本层的电梯门锁住,并使本楼层的电梯按钮失效,电梯里相应的按钮也失效,使得电梯不能也不可能停在本层; (3)按钮除了第一层和顶层,每一层都有两个按钮(上行、下行),乘客可以呼叫上楼或下楼,顶楼只有一个下楼按钮,而第一层只有一个上楼按钮。 电梯里面具有: (1)标示从“1”到“N”的N个按钮,用于让乘客选择所要的层数; (2)关门按钮当乘客按下此按钮时,电梯门如果开着将关上,否则不执行任何操作;(3)开门按钮当乘客按下此按钮时,电梯如果停在某一层,电梯门将打开,否则不执行任何操作; (4)超重测试和警报装置电梯的地面有超重感应装置,当电梯载重达到某一个值时,电梯“超重警报铃”发出超重警报,并且不执行关门命令。 2.关键实现方法描述 用“最大距离循环”来说明电梯的运行方式。也说是说,电梯处于上行状态时就一直上行直到不再有上行任务,电梯处于下行状态时就一直下行直到不再有下行任务。 用两个队列来实现电梯的调度,电梯根据这两个队列发送来的楼层号作为目的地进行运行。在上行队列中保存了所有的上行请求的楼层号(包括楼层的呼叫和电梯里的楼层按钮请求),即保存电梯上行时需要停的楼层号。队列排列规则是:高于或等于电梯当前所地楼层的上行请求的楼层号从小到大排在队列的前部分,低于电梯当前所地楼层的上行请求的楼层号从小到大排在队列后部分。如果新请求的楼层号被插在队列头时同时将这个楼层号发送给电梯作为它的目的地。在下行队列中保存了所有的下行请求的楼层号(包括楼层的呼叫和电梯里楼层按钮请求),即保存电梯下行时需要停的楼层号。队列排列规则是:低于或是等于电梯当前所在楼层的下行请求的楼层从大到小排在队列前部分,高于电梯当前所在楼层的下行请求的楼层号从大到小排在队列后部分。 当电梯正在上行时,如果上行队列的队列头改变时,系统将同时将这个新的队列头发送给电梯作为它的新目的地。有两种原因引起队列头的改变。 (1)新的请求插入到上行队列头。 (2)电梯到达某个楼层将这个楼层的请求从上行队列的队列头删除,后继的更高楼层号成为对列头。 当电梯正在下行时,如果下行队列的队列头改变时,系统将同时将这个新的队列头发送给电梯作为它的新目的地。有两种原因引起下行队列头的改变。 (1)新的请求插入到下行队列头。 (2)电梯到达某个楼层将这个楼层的请求从下行队列的队列头删除,后继的更高楼层号成为对列头。 在电梯上行过程中,当上行队列中没有比电梯当前所在的楼层更高的楼层号时,发送电梯目的地的开始从下行队列中取得。同样,在电梯下行过程中,当下行队列中没有比电梯当前所在的楼层更低的楼层号时,发送电梯目的地的开始从上行队列中取得。如此反复,当两个队

三层电梯控制系统的设计

三层电梯控制系统的 实验设计 指导老师:朱静 学生:马小娟 班级:电科092 学号:095203403

三层电梯控制系统的设计 第一节设计要求 要求用FPGA设计实现一个3层电梯的控制系统。系统的要求如下: (1)电梯运行规则:当电梯处在上升模式时,只响应比电梯所在位置高的上楼请求,由下向上逐个执行,直到最后一个上楼请求执行完毕。如果高层有下楼请求,直接升到有下楼请求的最高楼层,然后进入下降模式。电梯处在下降模式时,工作方式与上升模式相反。设电梯共有3层,每秒上升或下降一层。 (2)电梯初始状态为一层,处在开门状态,开门指示灯亮。 (3)每层电梯入口处均设有上下请求开关,电梯内部设有乘客到达楼层的停站请求开关及其显示。 (4)设置电梯所处位置的指示及电梯上升或下降的指示。 (5)电梯到达有停站请求的楼层后,电梯门打开,开门指示灯亮。开门4妙后,电梯门关闭,开门指示灯灭,电梯继续运行,直至执行完最后一个请求信号后停在当前层。 (6)电梯控制系统能记忆电梯内外的请求信号,并按照电梯运行规则工作,每个请求信号执行完毕后清除。 第二节三层电梯控制系统的功能模块及流程图 电梯控制器的功能模块如图2.1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。 图2.1 电梯控制器原理图

相关文档
最新文档