三相无刷直流电机控制系统设计

三相无刷直流电机控制系统设计
三相无刷直流电机控制系统设计

广东工业大学

硕士学位论文

三相无刷直流电机控制系统设计姓名:孙心华

申请学位级别:硕士专业:电力电子与电力传动指导教师:童怀

20080501

摘要

摘要

三楣无刷直流电机是近年来迅速发展起来的一种新型电机,它剃用电子挨耀代替机械换相,既具有直流电机的调速性能,又具有交流电机结构简单、运行可靠、维护方便等优点,并且体积小、效率高,在许多领域已得到了广泛的运用。本文首先介绍了三相无捌直流电机在国内外的发展及其控制系统的研究现状,详细论述了三相永磁无刷直流电机的构成、运行原理、特性分析和其转子位置信号的检测方法;然后设计了控制系统的硬件电路及相应软件,最后对设计的控制系统进行调试并分析了影响系统可靠性的睽素及给毒了相应解决的方案。根据控制系统的设计参数、成本及灵活性等各方面的要求,本控制系统设计了以A tmega8L单片机及ECN30206集成驱动器为核心的硬件平台。Atmega8L 单片枫对蠢ECN30206构成豹功率驱动电路进行转速PID闭环控制、并定时采集电流信号对电流进行过流保护及采用

Max7219串行显示转速、电流、相关故障信息, 通过光电隔离对永磁无刷直流电机诸如转向等控制及接收外部信息,通过RS- 485总线接蹬与外部其它系统交换信怠、对各种信息进行分析处理、协调各部分的工作。

在软件方面编制了基于硬件平台的程序,协调硬件工作。本控制系统软件由

一个前意念系统努加两个中断服务子程序,前看台主程序豳对系统初始化模块、

转子转速计算及转速PID闭环控制组成,初始化模块主要对A tmega8L单片机三个

定时器T0、T1、T2,ADC转换器,通用串行口U ART,输入输出I/O口的初始化, 系统初始化之后再对无刷直流电机转子转速进行计算,计算结果再与设定值进行比较,将比较差值送PID控制器控制PWM的占空比来控制专用驱动控制器ECN30206

的VSP引脚电压输入,从而控制转速,达到闭环控制的目的,中断程序主要惩来睾

行中断接收上位枫发来的无刷直流电机转向及转速设定僮、定时中断检测电流

及显示转速值及相关故障。

本文所设计的无刷直流控制系统实现了电机的转向、转速闭环PID控制、各

种参数及故障的显示。本控制系统保护功能较完善,硬件结构简单,成本较低, 主

控制部分、驱动部分及显示部分用户可以任意选择使用。

广东工业人学工学硕上学位论文

关键词:三相无刷直流电机;Atmega8L单片机;

ECN30206集成驱动器;PID闭环控制

Abstract

Ab stract

Three phase brushless DC motor(BLDCMwith permanent excitation,whose electrical commutator iS used to instead of mechanical,has not only the same good characteristics of speed control as traditional DC motor,but also the good characteristics of AC Motor such as structure simple,operation reliable,maintenance friendly.Brushless DC motor has set wide application due to its high power density,ease to control,high efficiency over wide speed range.

The paper firstly introduced the study status of permanent magnet brushless DC motor in home and abroad,and then discussed its structure,operation principles, characteristics as well as its rotor position signal detection methods in detail;secondly, designed

the control system’S hardware and software accordingly;越l ast debugged the control system,analyzed the factors affecting the reliability of the system and gave the corresponding solutions.

According to the requirements of control system such as design parameters,cost and flexibility,this paper designed Atmega8L SCM and ECN30206integrated driver as the core hardware

platform.Atmega8L SCM posed rotation speed PID closed—loop control on the system and regularly collecting current signal for the current over—current protection;used the Max7219serial display the current,related to fault

information;controlled Permanent magnet brushless DC motor’S rotate direction and received external information,through the isolation of the photoelectric;exchanged information,analyzed and processed the various information,coordinated various parts working through the RS一485bus interface with other outside systems.

This paper compiled a program based on the hardware platform to coordination with the hardware.The software of the control system is comprised by one fore.and—aft platform main program and two interrupt service subprogram.The fore.and-a爨platform main program is made up by the system initialization module,the rotor speed computing and PID speed closed—loop control component.The system

广东工业人学工学硕士学位论文

initialization module mainly initializes the three timers,A/D converter,serial UART, I/O pins.After system initialization,the main program computes the BLDC’S rotor speed and compared to the set speed value and then it send the error to the PID contr01.The PID controller will change the voltage of the ECN30206integrated driver’S VSP pins according to the duty cycle of

PWM.In this way the rotor speed was controlled accord with the user’s setting value.One of the interrupt subprogram mainly used to receive the control order from the external system,which composed of rotor direction,rotor speed value.The other is mainly used to detect the current and display the speed and related failures regularly.

In this paper,the brushless DC motor control system achieved the rotor direction, speed closed—loop PID control,and various parameters defect display.The control system has a perfect protection,simple hardware structure,lower cost.The main control section,driver section and display section can choose arbitrary by the user. Keywords:Three phase BLDC motor;Atmega8L micro-C; ECN30206integrated driver;PID closed-loop control

广东工业人学工学硕L学位论文

独创性声明

秉承学校严谨的学风与优良的科学道德,本人声明所呈交的论文是我个人在

导师的指导下进行的研究工作及取得的研究成果。尽我所知,除了文中特别加以

标注和致谢的地方外,论文中不包含其他人已经发表或撰写过的研究成果,不包含本人或其他用途使用过的成果。与我一同工作的同志对本研究所做的任何贡献

均已在论文中作了明确的说明,并表示了谢意。

本学位论文成果是本人在广东工业大学读书期间在导师的指导下取得的,论

文成果归广东工业大学所有。

申请学位论文与资料若有不实之处,本人承担一切相关责任,特此声明。

l l

指导教师签字:翟.牝

论文作者签字铜、∥青

纱砖年歹月矽日

第一章绪论

1.1课题依据及意义

第1章绪论

无刷直流电机是近几年来小电机行业发展最快的品种之一,随着视听产品小、轻、薄化和家电产品的静音节能化以及豪华型轿车需求量增多,无刷直流电

动机需要量迅速增加;无刷直流电机用电子换向替代了电刷和换向器,具有高可靠、高效率、寿命长、调速方便、低噪音等优点。国内近年来在无刷直流电机的设计及控制方面有很多的研究,但与国外成熟的产品相比还有很多地方值得提高, 并且很多无刷直流电机生产商都没有给出具体的控制方案,因此在无刷直流电机控制方面的研究是非常有必要的。

由于无刷直流电机具有体积小、重量轻、效率高、调速性能好、转动惯量小、没有励磁损耗等问题,因此在各个领域具有广泛的应用前景。一方面,无刷直流电机与其他异步电机相比具有明显的优势如反馈装置更简单、功率密度更高、输出转矩更大、并且电机和逆变器各自的潜力得到充分的发挥,因此无刷直流电机的应用和研究得到了前所未有的重视。据资料统计统计表明无刷直流电机的使用

每年以较高比例增长。另一方面无刷直流电机与有刷直流电机相比有更多的优点如电机本体结构简单、运行时无火花、电磁干扰小,无噪声等,因此具备广泛应用前景。n 3

目前在家用电器方面,大多使用异步电机,但是随着人们生活水平的提高对各种家电的质量、性能提出了更高的要求;随着能源的日益匮乏特别是燃油方面, 对高效节能也有更高的要求,国家十一五计划也明确提出过限制耗能产业发展, 因此采用无刷直流电机来代替性能差、效率低的异步电动机成为大势所趋。现在在高档电扇中已经采用了无刷直流电机,在新一代的空调、洗衣机、电冰箱、吸

尘器等家电中已逐渐用无刷直流电机取代了异步电动机,因此研究无刷直流电机及其控制意义重大。

广东工业人学工学硕上学位论文

1.2无刷直流电机的发展

电机作为主要的能量转换装置,已被广泛应用于家用电器、信息处理设备、

汽车工业、机器人等各个领域。直流电机具有优秀的线性机械特性、宽的调速范围、大的启动转矩、简单的控制电路等优点被广泛应用于于各种驱动装置和伺服系统中,但是直流电机是依靠换向器和机械电刷进行换向,由于换向器和电刷的

接触,使直流电机结构复杂、可靠性差、变化的接触电、产生电火花、电磁干扰,

噪声等一系列问题、影响了直流电机的性能。因此从上个世纪以来人们就开始研究一种不用机械电刷和换向器的直流电机。1955年,美国D.Harrison等人首次成

功的实现了用晶体管换向线路代替有刷直流电机机械电刷,这标志着现代无刷直流电机的诞生。…心1

二十世纪六十看年代以来,由于高性能新型永磁材料、大功率开关器件、模拟和数字专用集成电路、微处理技术、现代控制理论的发展,“无刷直流电机’’的概念已由最初的具有电子换向的直流电动机发展到泛指一切具有“有刷直流电机”外部特性的电子换向电机。无刷直流电动机真正进入实用阶段是从1978年开始, 当时前德国某公司在汉诺威贸易博览会上,正式推出一款经典无刷直流电动机及其驱动器。80年代,国际上开展了深入的研究,先后研制成方波无刷直流电机和正弦波无刷直流电动机,在10多年的时间里,无刷直流电动机在国际上已得到较为充分的发展,在一些较为发达的国家里,无刷直流电动机将在未来几年内成为主导电动机,并逐步取代其他类型的电动机。现在许多高档精密型产品都用无刷直流电机,日本的不少公司已将无刷直流电机应用到数码照相机、微型收录机、摄影机、打印机、存储驱动器、手机以及汽车空调、洗衣机、吸尘器、电动车、心脏泵等领域。【2】

1.3无刷直流电机控制系统研究现状

现阶段国内外无刷直流电机控制的研究主要包括转子位置检测及功率开关管的状态切换、速度调节、转矩脉动的抑制、无刷直流电机的起动。“儿钉¨¨"m力一、转子位置检测及开关状态切换

2

第一章绪论

无刷直流电机的运行是通过逆变器功率器件随转子的不同位置相应地改变其不同的开关管组合状态来实现的,因此准确检测转子的位置并根据转子位置准时切换功率器件的开关组合状态是控制无刷直流电机正常运行的关键。

1、用位置传感器检测转子位置及开关状态切换

利用传感器得到的不同位置信号经过门电路、模拟开关或专用芯片就可以得到不同的开关逻辑信号,实现开关状态的自动切换,随着微处理器的应用,也可以通过软件来进行切换,无刷电机常用的位置传感器有磁电感应式、磁敏式和光电式。磁电式位置传感器既笨重又复杂,在方波电机中早已被淘汰。磁敏式霍尔位置传感器由于体积小,简单可靠的特点而被广泛应用。光电式如光电码盘因高精度的特点而广泛应用于伺服系统中。但位置传感器的使用增加了电机的体积, 且需要多根信号线,这给无刷直流电机的微型化带来了困难,也增加了电机制造的工艺要求和成本。

2、无位置传感器检测转子位置及开关状态切换

为了省去位置传感器,根据各相反电势随转子位置改变的原理有些专家提出了端电压检测法,把三相端电压经低通滤波器延时90度电角度,再经比较电路得到开关逻辑信号。但该方法存在着低通滤波器在电机低速时延对不足90度电角度

的情况,导致触发信号提前切换,对电机电流、转矩产生较大的影响,严重时甚至会引起电机失步。因此,又有人在此基础上进行补充,低速时,采取三相端电压两两比较直接得出触发逻辑信号的方法,在整个运行段,根据不同的转速,在两个位置检测电路之间进行切换。随着微处理器的应用,利用软件的延时对方法

可以完全简化端电压检测法的位置检测电路。沈建新在电工报发表文章提出用三

相端电压和比较电压间接得到绕组反电势的过零点,然后用软件延迟l/12周期的

时间再切换触发信号。但由于凸极电机中电枢反应和检测电路滤波器的影响会导

致电机超前或滞后换流,因此他又发表了一文章对此进行了修正,并取得了良好的

效果。“端电压检测法”虽能完成转子位置的检测,但由于绕组的反电势正比于转

子的转速,因此,在低速时就很难检测到反电势而会导致电机失步。

二、速度调节

根据无刷直流电机的机械特性转速为:

n=(u-AU-IaV?ray/Ke(1—1

广东工业大学T学硕卜学位论文

因此可以通过调节端电压U或定子电流Iav来实现调速。有学者介绍了利用降

压型载波电路和两象限载波电路来进行调速的两种方法,两象限电路由于可以很快地控制电流,因此其动态性能远远高于只是靠通过调节端电压来调速的降压型电路,且前者还有回馈制动的功能,电流脉动也比后者小得多。也有人提出了用PWM信号作为功率器件的触发信号,用调节PwM信号的占空比的方法来调速,该方法可以直接控制电机的相电流,因而调速性能更佳,也可以很好地抑制电流的脉动。

高性能的调速系统,尤其是速度伺服系统,需要有一适合于系统的控制策略,

即速度调节器。大部分系统采用了数字PID调节器,但这对交流伺服系统有一定的

局限性,因些就有专家采用PID控制与模糊控制相结合的Fuzzy—PID控制对速度

环进行控制,取得了良好的效果,使系统具有Fuzzy和PID控制的双重优点,且在不

同的负载下具有较强的鲁棒性。无刷直流电机是一个多变量、非线性、强耦合的

对象,因此利用模糊控制、神经网络控制、专家系统等具有自学习、自适应、自组织功能的智能控制来进行对无刷直流电机的控制是一种有效的手段,这也是高性能

伺服系统发展的趋势。但仅仅对速度的控制是远远满足不了伺服系统的要求的,

要提高系统的性能,必须对电机的转矩进行控制。

三、转矩脉动的抑制

伺服系统的控制关键是对转矩的控制,但由于各种原因引起的转矩脉动问题

严重影响了无刷直流电机在交流伺服系统中的应用,尤其在直接驱动应用的场合, 转矩脉动使电机速度控制特性极度恶化。因而,抑制转矩脉动成为提高伺服系统性能的关键。对于齿槽效应和磁通畸变引起的转矩脉动的抑制有关专家提出了除从电机设计的角度进行改善外还要采用转矩闭环控制;对于相电流换向引起的转矩脉动的抑制有关专家提出了用滞环控制和PWM控制来抑制电机低速段的转矩脉动的方法,实验中也取得效果,但没有对电机高速段的转矩脉动提出相应的办法。

四、无刷直流电机的起动

对于有位置传感器的无刷直流电机来说,顺利起动是不存在什么问题了。但对于靠反电势进行位置检测的无位置传感器的无刷直流电机来说,由于静止时不产生反电势,从而使得怎样顺利起动成了控制无位置传感器的无刷直流电机的重

4

广东工业人学T学硕十学位论文

第3章空调三相无刷直流电机控制系统硬件设计3.1引言

无刷直流电机控制系统目前主要有三种控制方式:专用集成电路芯片控制; 单片机控制;高速DSP控制。以专用集成电路芯片为核心的控制系统结构简单, 但不能灵活的控制各种参数;以高速DSP为核心的控制系统精度高、速度快,但开发周期长,成本高,但对于实时需推出新产品及成本控制严格的空调电机不宜采用;以单片机为核心的控制系统具有价格低,片内资源丰富,且可以灵活的编制程序控制,因此本空调用三相永磁无刷直流电机控制系统采用Atmel公司的AVR系列单片机作为主控制芯片,以日立公司专用集成芯片为驱动电路。

3.2单片机选择

3.2.1单片机的选择依据

1.抗干扰能力。由于电机工作时会产生磁场,且比较恶烈,这就要求单片机

能抵制这方面的干扰,否则无法正常工作。

2.价格因素。对于空调电机成本是关键,因此单片机价格极为重要,所以要

选一种性价比比较高的单片机及其开发平台。

3.保密因素。电子产器保密因素是一个非常重要的问题,开发的产器被竞争

对手享用,使竞争处于不利位置,因此所选单片机要有良好的加密功能

4.片上资源。要选择片上资源丰富的单片机,比如支持在线调试、下载功能,

有A/D转换模块等。对于本系统最起码要有捕捉及PWM波功能。

3.2.2AVR单片机微处理器介绍

AVR单片机是1997由A tmel公司研发出的增强型内置Flash程序存储器的

第3章空调三相无刷直流电机控制系统硬件设计

精简指令集C PU(Reduced Instruction Set CPU的高速单片机。AVR单片机可

以广泛应用于计算机外部设备、工业实时控制、仪器仪表、通信设备、家用电器

等各处领域。

可靠性高、功能强、速度快、功耗低和价位低,一直是衡量单片机性能的重要指标,可是单片机占领市场、赖以生存的必要条件。早期的单片机由于工艺及设

计水平不高、功耗和抗干扰性能差等原因,故采取稳妥方案:采用较高的分频系数对时钟分频,从而使得指令周期长、执行速度慢。以后的CMOS单片机虽然采用

提高时钟频率和缩小分频系数等措施,但是这种状态并未彻底改观(MCS51及基

兼容产品。虽然此期间有某些精简指令集单片机问世,但依然沿袭对时钟分频的做法。

AVR单片机的推出,彻底打破了这种旧设计格局,废除了机器周期,抛弃了

复杂指令集C PU(Complex Instruction Set CPU追求指令完备的做法,采用精简

指令集CPU(RISC,以字节做为指令长度单位,将内容丰富的操作数与操作码

安排在一字之中(指令集占大多数的单指令周期指令都是如此,指令周期短,又

可预指指令,实现流水线作业,故可提高速执行指令。当然这种速度上的升跃,

是以高可靠性为代价的。AVR单片机博采众长,又具独特技术,是8位机中的佼

佼者。【1.】“61

3.2.3AVR单片机的特点

(1高性能,采用精简指令集CPU(RISC,32个通用工作寄存器,克服了

MCS51等CICS结构存在的指令系统不等长、指令数多、CPU利用率低、执行速度

慢等缺点。采用哈佛结构的流水线技术,在执行一条指令时,下一条指令已经被取出来,所以说其机器周期等于时期周期,绝大部分指令为单周期指令。指令的执行速度可以达到20MHZ。

(2除了可以通过串行外设接口(SPI和一般的编程器对单片机的Flash

程序存储器和数据存储器EEPROM编程外,绝大部分AVR单片机支持程序在线编程(ISP,Atmega系列单片机还支持应用编程(IAP;同事AVR单片机采用了可擦

写1000次的Flash程序存储器,并有大容量的可以擦写100000次的EEPROM,给

用户开发、生产和维护带来方便,可以低价实现商用化,提高了产品的质量和竞

广东工业人学工学硕士学位论文

争力。

(3AVR单片机有丰富的外设,如片内程序Flash、Uart、模拟比较器、

丰富的中断资源、IIC、SIP、EEPROM、RTC、WatchDog定时器,A/D转换器和PWM 和片内振荡器等,可以真正的做到单片。

(4AVR单片机的工作电压范围宽,工作电压在1.8—6V之间,电源的抗干

扰能力强。

(5低功率,AVR单片机具有6种休眠功能,能够从低功耗模式迅速唤醒,

并采用可编程的频率选择和CMOS集成工艺。

(6编译好了的目标程序可以通过在系统编程(ISP直接写入内部的Flash

存储器,面不需要把芯片从系统上拆下来使用专用编程器来写入程序。这极大的

方便了程序的修改和烧写等操作,方便产品升级,尤其是贴片封装,产品更利于微型化。

(7AVR单片机的I/O口具有较强的负载能力,,可以直接驱动LED,内置看

门狗定时器,以防止程序跑飞。

(8和PIC单片机一样可以重新设置启动复位。AVR单片机内置电源上电复

位POR和电源掉电检测BOD,提高了单片机的可靠性,不用外加复位延时电路。(9有丰富的中断向量,具有34个中断源,不同的的中断向量和入口地址

不一样,可以快速响应,而不像PIC单片机那样,所有中断源都占同一个中断向

量地址。

(i0内部UART不占用定时器,而采用定时器,而采用独特的波特率发生

器。有SPI传输功能。因为高速传输,所以晶振可以工作在一般标准整数频率,

且波特率可高达576Kbit/s.

(11具有高的代码效率,能在C语言、Basic语言环境下编译,有32个通

用工作寄存器,线性寻址,完全免费的开发环境,包拓汇编器、支持汇编和高级

语言源代码调试和模拟和仿真功能。

(12保密性强。AVR单片机Flash程序存储器具有保密死锁功能,并且Flash

深藏在芯片内部,难以破解。n"

3.3空调三相无刷直流电机硬件总体构架

本控制系统硬件主要由控制电路、驱动电路、显示电路、RS485接口电路组成, 第3章空调二三相无刷直流电机控制系统硬件设计

如图3-1硬件控制系统框图所示:

电源部分

l显示部分卜t,

--

嘉霆舄I+|电机本体t!; I转速给定H

MCU ;

l接口电路l?I5l?+。‘ 'L 传感器一

光电隔离

上I光电隔离

I I

+t十l待罢膪呈I速度反馈l ?一号用驱动芯片—_.卜俘直1百亏

处理电路图3-1硬件控制系统框图

Fig.3—1control system hardware structure block

由上一章永磁无刷直流电机运行原理可以知道,永磁无刷直流电机的平均工

作电流与转速成反比例函数关系,且空调电机带的风叶负载是恒定,也即转矩随转速成线性关系,因此控制无刷直流电机的电磁转矩也可以通过控制转速来实现。

从图3—1可以看出,本系统是速度闭环系统。霍尔位置传感器的位置信号经信号

处理后送专用驱动芯片后产生一个速度脉冲信号经单片机处理转换成转速,再运

用增量式PI算法,得到PWM控制信号经过光耦隔离电路驱动专用集成驱动芯片闭

环控制转速。同时,单片机还监控控制系统的运行状态,当系统出现短路、过流、过压等故障时,单片机将封锁PWM输出信号,使电机停机,并通过LED电路显示故障。由于客户对控制系统的需求不同,本系统设计的各部分在保持相互独立性的同时,

又留好相应的接口以便构成一个完整的系统。

3.4控制电路

本系统主硬件控制电路由控制由Atmega8L单片机、PWM信号产生及处理电路、电流检测电路、转速检测电路、隔离电路及接口电路组成。如图3-2所示。下面主要介绍PWM波产生及处理电路、电流检测电路、转速检测电路,隔离电路,接口电路这里就不作详细论述。

3.4.1PWM信号产生及处理电路

脉冲宽度调制(PWM是英文“Pulse Wi dth Modulation”的缩写,简称脉

宽调制。它是利用微处理器的数字输出来对模拟电路信号进行控制的一种非常有

效的技术,广泛应用于测量,通信,功率控制与变换等各个领域。

了r 自TM^0A8

图3-2Atmega8L主控电路

Fig.3-2Atmega8L’S main control Circuit

本控制系统利用ATmega8LP勺部定时器产生定频调宽的P WM波信号来控制无刷直流电机的转速。定频调宽是一种常见的脉宽调制方式,它使脉冲的频率(也即

第3章宅调三相无刷直流电机控制系统硬件设计

周期保持不变,脉冲宽度可调。

ATmega8L的T imer2ZE作在快速PWM模式时可产生高频的PWM波形。快速PW M模式

与其他PWM模式的不同之处是其单边斜坡工作方式。计数器从BOTTOM计至UMA X,然

后立即回至UBOTTOM重新开始。由于使用了单边斜坡模式,快速PWM模式的工作频率

比使用双斜坡的相位修正PWM模式高一倍。工作于快速PWM模式时,计数器的数值一直增加至UMAX,然后在后面的一个时钟周期清零。具体的时序图为图3—3。图中柱状的计数器寄存器TCNT2表示这是单边斜坡操作。方框图同时包含了普通的PW M

输出以及方向PWM输出。计数器寄存器TCNT2斜坡上的短水平线表示比较匹配寄存器OCR2与计数器寄存器TCNT2的比较匹配。具体的程序后章节会详细论述。

输出的快速PWM频率可以通过式3-1计算得到:

fP删=fi/。/(N.256 (3—1

式中fi/o表示系统频率,N代表分频因子(1、8、32、64、128、256或1024。

256是计数值个数。

TCNTn OCn

Oc蓍酾㈣麟F沏Set

oe翱Update

and

TOI/n interrupt Flag8戡, 1F _『● r11r 11r

././/.//‘ /./.,‘/./,

『_

《C

Perkad卜,+2—一3—十-4—卜5—十一e—+-7一

图3-3快速PWM时序图

PWM产生了之后还要经过处理才能得到想要输出的输出信号。如图3—4所示, 广东工业大学工学硕士学位论文

ATmega8L产生的PWM信号通过光电耦合在P521的3脚产生同周期的P WM信号经分压

滤波之后输出一个oN6v的用于驱动电路的调速电压,图中稳压二极管使P521的4脚稳N9v,P521的3脚的PWM信号经两级RC滤波之后变得平滑,P52l起到了主控电路与驱动电路电气隔离作用。n鲫

3.4.2电流检测电路

图3—4PWM处理电路Fig.3-4PWM dispose circuit

无刷直流电机的驱动及控制

无刷直流电机驱动 James P. Johnson, Caterpiller公司 本章的题目是无刷直流电动机及其驱动。无刷直流电动机(BLDC)的运行仿效了有刷并励直流电动机或是永磁直流电动机的运行。通过将原直流电动机的定子、转子内外对调—变成采用包含电枢绕组的交流定子和产生磁场的转子使得该仿效得以可能。正如本章中要进一步讨论的,输入到BLDC定子绕组中的交流电流必须与转子位置同步更变,以便保持磁场定向,或优化定子电流与转子磁通的相互作用,类似于有刷直流电动机中换向器、电刷对绕组的作用。该原理的实际运用只能在开关电子学新发展的今天方可出现。BLDC电机控制是今天世界上发展最快的运动控制技术。可以预见,随着BLDC的优点愈益被大家所熟知且燃油成本持续增加,BLDC必然会进一步广泛运用。 2011-01-30 23.1 BLDC基本原理 在众文献中无刷直流电动机有许多定义。NEMA标准《运动/定位控制电动机和控制》中对“无刷直流电动机”的定义是:“无刷直流电动机是具有永久磁铁转子并具有转轴位置监测来实施电子换向的旋转自同步电机。不论其驱动电子装置是否与电动机集成在一起还是彼此分离,只要满足这一定义均为所指。”

图23.1 无刷直流电机构形 2011-01-31 若干类型的电机和驱动被归类于无刷直流电机,它们包括: 1 永磁同步电机(PMSMs); 2 梯形反电势(back - EMF)表面安装磁铁无刷直流电机; 3 正弦形表面安装磁铁无刷直流电机; 4 内嵌式磁铁无刷直流电机; 5 电机与驱动装置组合式无刷直流电机; 6 轴向磁通无刷直流电机。 图23.1给出了几种较常见的无刷直流电机的构形图。永磁同步电机反电势是正弦形的,其绕组如同其他交流电机一样通常不是满距,或是接近满距的集中式绕组。许多无刷直流电

PWM控制直流电机的系统的设计

电力电子与电机拖动综合课程设计 题目: PWM控制直流电机的系统 专业: 05自动化 学号: 200510320219 姓名:张建华 完成日期: 指导教师:李晓高

电力电子与电机拖动综合课程设计任务书 班级:自动化05 姓名:张建华指导老师:2008年6月10日 年月日

目录

1 引言 直流电机由于具有速度控制容易,启、制动性能良好,且在宽范围内平滑调速等特点而在冶金、机械制造、轻工等工业部门中得到广泛应用。直流电动机转速的控制方法可分为两类,即励磁控制法与电枢电压控制法。励磁控制法控制磁通,其控制功率虽然小,但低速时受到磁饱和的限制,高速时受到换向火花和换向器结构强度的限制;而且由于励磁线圈电感较大,动态响应较差。所以常用的控制方法是改变电枢端电压调速的电枢电压控制法。调节电阻R即可改变端电压,达到调速目的。但这种传统的调压调速方法效率低。随着电力电子技术的进步,发展了许多新的电枢电压控制方法,其中PWM(脉宽调制)是常用的一种调速方法。其基本原理是用改变电机电枢(定子)电压的接通和断开的时间比(占空比)来控制马达的速度,在脉宽调速系统中,当电机通电时,其速度增加;电机断电时,其速度减低。只要按照一定的规律改变通、断电的时间,即可使电机的速度达到并保持一稳定值。最近几年来,随着微电子技术和计算机技术的发展及单片机的广泛应用,使调速装置向集成化、小型化和智能化方向发展。 本电机调速系统采用脉宽调制方式, 与晶闸管调速相比技术先进, 可减少对电源的污染。为使整个系统能正常安全地运行, 设计了过流、过载、过压、欠压保护电路, 另外还有过压吸收电路。确保了系统可靠运行。 2 系统概述 2.1 系统构成 本系统主要有信号发生电路、PWM速度控制电路、电机驱动电路等几部分组成。整个系统上采用了转速、电流双闭环控制结构,如图1所示。在系统中设置两个调节器,分别调节转速和电流,二者之间实行串级连接,即以转速调节器

一种无刷直流电动机控制系统设计

一种无刷直流电动机控制系统设计

————————————————————————————————作者:————————————————————————————————日期:

一种无刷直流电动机控制系统设计 摘要:介绍了MOTORALA公司专门用于无刷直流电机控制的芯片MC33035和 MC33039的特点及其工作原理,系统设计分为控制电路与功率驱动电路两大部分,控制电路以MC33035/33039为核心,接收反馈的位置信号,与速度给定量合成,判断通电绕组并给出开关信号。在驱动电路设计中,采用三相Y联结全控电路,使用六支高速MOSFET 开关管组成。通过实验,电机运行稳定。 关键词:无刷直流电机;MC33035/33039;控制电路;驱动电路 Design of control system for Brushless DC Motors SUN GuanQun;SHI Ming;TONG LinYi;XU YiPing Abstract:It introduces the MOTORALA company used for the characteristics o f the chip MC33035 and MC33039 which control the brushless direct curren t motor exclusively and its work principle. The system design divides into tw o major parts: the control circuit and the power driver circuit, the control circ uit take MC33035/33039 as the core, receive feedback position signal, with th e speed to the quota synthesis, the judgment circular telegram winding and p roduces the switching signal. In the actuation circuit design, uses the three-p hase Y joint all to control the electric circuit, uses six high speed MOSFET swit ching valve to compose. Through the experiment, the electric motor moveme nt stable is reliable. Keywords:Brushless DC motor;MC33035/33039;control circuit;drive circuit 1.引言 永磁直流无刷电机是近年来迅速成熟起来的一种新型机电一体化电机。该电机由定子、 转子和转子位置检测元件霍尔传感器等组成,由于没有励磁装置,效率高、结构简单、工作特 性优良,而且具有体积更小、可靠性更高、控制更容易、应用范围更广泛、制造维护更方便 等优点,使无刷电机的研究具有重大意义。 本系统设计是利用调压调速,根据调整供电PWM电源的占空比进而调整电压的方式实 现。本设计采用无刷直流电机专用控制芯片MC33035,它能够对霍尔传感器检测出的位置 信号进行译码,它本身更具备过流、过热、欠压、正反转选择等辅助功能, 组成的系统所需 外围电路简单,设计者不必因为采用分立元件组成庞大的模拟电路,使得系统的设计、调试 相当复杂,而且要占用很大面积的电路板。 MC33035和MC33039这两种集成芯片也可以方便地完成无刷直流电动机的正反转、 运转起动以及动态制动、过流保护、三相驱动信号的产生、电动机转速的简易闭环控制等。

直流无刷电机驱动说明书

BLDC SERVO DRIVERS 无刷直流调速驱动器 使用手册1.3 系统上电前请仔细阅读手册 DBLS-01系列 直流无刷电机驱动说明书 一、概述 本控制驱动器为闭环速度型控制器,采用最近型IGBT和MOS功率器,利用直流无刷电机的霍尔信号进行倍频后进行闭环速度控制,控制环节设有PID速度调节器,系统控制稳定可靠,尤其是在低速下总能达到最大转矩,速度控制范围150~6000rpm。 二、特点 1、PID速度、电流双环调节器 2、高性能低价格 3、20KHZ 斩波频率 4、电气刹车功能,使电机反应迅速 5、过载倍数大于2,在低速下转矩总能达到最大 6、具有过压、欠压、过流、过温、霍尔信号非法等故障报警功能 三、电气指标 标准输入电压:24VDC\36VDC\48VDC 三种 最大输入过载保护电流:5A\15A两种 加速时间常数出厂值:0.2秒其他可定制 四、端子接口说明

1、电源输入端 引角序号引角名中文定义 1 V+ 直流+24V输入 2 GND 直流0V输入 2、电机输入端 引角序号引角名中文定义 1 MA 电机A相 2 MB 电机B相 3 MC 电机C相 4 GND 地线 5 HA 霍尔信号A相输入端 6 HB 霍尔信号B相输入端 7 HC 霍尔信号C相输入端 8 +6.25 霍尔信号的电源线 3、控制信号部分 GND:信号地 F/R:正、反转控制,接GND反转,不接正转,正反转切换时,应先关断EN EN:使能控制:EN接地,电机转(联机状态),EN不接,电机不转(脱机状态) BK:刹车控制:当不接地正常工作,当接地时,电机电气刹车,当负载惯量较大时,应采用脉宽信号方式,通过调整脉宽幅值来控制刹车效果。 SV ADJ:外部速度衰减:可以衰减从0~100%,当外部速度指令接6.25V时,通过该电位器可以调速试机 PG:电机速度脉冲输出:当极对数为P时,每转输出6P个脉冲(OC门输入) ALM:报警输出:当电路处于报警状态时,输出低电平(OC门输出) +6.25V:调速电压输出,可用电位器在SV和GND形成连续可调 拔码开关说明:四个档位为OFF时,电机不运行,SW1为ON状态时,电机转速为100%,SW2为ON状态时,电机转速为80%,SW3为ON状态时,电机转速为40%,SW4为ON状态时,电机转速为20%。 4.机械安装:

直流电机控制系统设计

直流电机控制系统设计

XX大学 课程设计 (论文) 题目直流电机控制系统设计 班级 学号 学生姓名 指导教师

沈阳航空航天大学 课程设计任务书 课程名称专业基础课程设计 院(系)自动化学院专业测控技术与仪器 班级学号姓名 课程设计题目直流电机控制系统设计 课程设计时间: 2012年7 月9 日至2012年7 月20 日 课程设计的内容及要求: 1.内容 利用51单片机开发板设计并制作一个直流电机控制系统。系统能够实时控制电机的正转、反转、启动、停止、加速、减速等。 2.要求 (1)掌握直流电机的工作原理及编程方法。 (2)掌握直流电机驱动电路的设计方法。 (3)制定设计方案,绘制系统工作框图,给出系统电路原理图。 (4)用汇编或C语言进行程序设计与调试。 (5)完成系统硬件电路的设计。 (6)撰写一篇7000字左右的课程设计报告。 指导教师年月日 负责教师年月日

学生签字年月日 目录 0 前言 (1) 1 总体方案设计 (2) 1.1 系统方案 (2) 1.2 系统构成 (2) 1.3 电路工作原理 (2) 1.4 方案选择 (3) 2 硬件电路设计 (3) 2.1 系统分析与硬件设计 (3) 2.2 单片机AT89C52 (3) 2.3 复位电路和时钟电路 (4) 2.4 直流电机驱动电路设计 (4) 2.5 键盘电路设计 (4) 3软件设计 (5) 3.1 应用软件的编制和调试 (5) 3.2 程序总体设计 (5) 3.3 仿真图形 (7) 4 调试分析 (9) 5 结论及进一步设想 (9) 参考文献 (10) 课设体会 (11) 附录1 电路原理图 (12) 附录2 程序清单 (13)

三相无刷直流电机系统结构及工作原理

三相无刷直流电机系统结构及工作原理

图2.3 直流无刷电动机的原理框图位置传感器在直流无刷电动机中起着测定转子磁极位置的作用,为逻辑开关电路提供正确的换相信息,即将转子磁钢磁极的位置信号转换成电信号,然后去控制定子绕组换相。位置传感器种类较多,且各具特点。在直流无刷电动机中常见的位置传感器有以下几种:电磁式位置传感器、光电式位置传感器、磁敏式位置接近传感器【3】。 2.4基本工作原理 众所周知,一般的永磁式直流电动机的定子由永久磁钢组成,其主要的作用是在电动机气隙中产生磁场。其电枢绕组通电后产生反应磁场。其电枢绕组通电后产生反应磁场。由于电刷的换向作用,使得这两个磁场的方向在直流电动机运行的过程中始终保持相互垂直,从而产生最大转矩而驱动电动机不停地运转。直流无刷电动机为了实现无电刷换相,首先要求把一般直流电动机的电枢绕组放在定子上,把永磁磁钢放在转子上,这与传统直流永磁电动机的结构刚好相反。但仅这样做还是不行的,因为用一般直流电源给定子上各绕组供电,只能产生固定磁场,它不能与运动中转子磁钢所产生的永磁磁场相互作用,以产生单一方向的转矩来驱动转子转动。所以,直流无刷电动机除了由定子和转子组成电动机本体以外,还要由位置传感器、控制电路以及功率逻辑开关共同构成的换相装置,使得直流无刷电动机在运行过程中定子绕组所产生的的磁场和转动中的转子磁钢产生的永磁磁场,在空间始终保持在(π/2)rad左右的电角度。 2.5无刷直流电机参数 本系统采用的无刷电机参数 ·额定功率:100W ·额定电压:24V(DC) ·额定转速:3000r/min ·额定转矩:0.23N?m ·最大转矩:0.46N?m ·定位转矩:0.01N?m ·额定电流:4.0A

基于无刷直流电机控制系统设计与实现

基于无刷直流电机控制系统设计与实现 发表时间:2017-10-20T11:19:09.350Z 来源:《防护工程》2017年第15期作者:樊圣至[导读] 为了摆脱此系统对进口技术的依赖性,应深入研究其控制系统,提升设计水平,从而实现煤矿开采的自动化。交通运输部东海第一救助飞行队摘要:无刷直流电机具备体积小、效率高以及控制精度高等优势,且在多个领域得到了广泛使用。但在部分控制系统中,外加干扰以及参数摄动等因素干扰了系统的动静态性,基于此,本文在分析无刷直流电机结构与运行原理的基础上,指出了其软硬件方面的优化控制措施,以期为此后无刷直流电机控制系统的设计工作提供更多的参考依据。 关键词:无刷直流电机;控制系统;设计与实现 1 无刷直流电机结构 电机本体、位置测算结构、电子换相逻辑等均属于无刷直流电机的组成结构,且其与永磁同步电机较为相似。相较直流电机,无刷直流电机旋转的转子为磁极,而直流电机为绕组。且定子主要由电枢绕组、定子铁芯以及其他固定部件组成,电枢绕组一般采用三相Y型绕法,而转子磁极则采用稀土永磁钢片组成,安装在转子表面。 2 无刷直流电机软硬件设计2.1系统硬件部分 2.1.1系统硬件结构 系统硬件主要包括整流电路、开关电源电路、控制芯片、信号隔离电路、调试电路、逆变功率电路以及电流电压检测与保护电路等,其具体结构如下图1所示。 图1 无刷直流电机控制系统硬件结构组成图其中键盘控制系统信息,比如完成启动、停机、速度给定以及系统参数的在线修改等工作。系统交流电源通过整流桥获得直流电源,并供给全桥逆变以及开关电源电路。而开关电源电路则为系统提供24V以及5V的直流电源,电压检测电路通过模数转换获得电压时值,通过母线电压的监控实行过压保护动作,而主控芯片则通过判断输入信息进行控制命令。 2.1.2电源部分分路 整个系统能量的主要来源便是电源,且其呈现出交流、直流以及交流的变化过程,整个电路被分为强电与弱电两个组成部分,且单相220伏的交流电在整合后会形成310伏的直流电,为逆变电路以及开关电路提供能量。首先是整流电路,包括单相全桥不可控整流电路以及电容充电电流限制电路两个组成部分,当电机功率为1.5kW时,控制器的输出能力设定为2.2kW,且上电瞬间直流电源对电容充电,断开继电器,且电流在经过电阻的过程中得到缓冲。其次是电源电路,主要由变压器、IC1以及MC7085等部分组成,其中IC1为电源的专门控制面板。且开关电源处于电压工作模式,IC1通过电压反馈调整PWM的输出功率,从而维持电源电压的稳定运行。最后是芯片电源电路,主要采用主控芯片为3.3伏的工作电平。 2.1.3主控芯片以及周边电路研究中采用适合电机控制领域的32位Cortex -M3核的单片机,可以达到较高的运算效率,且其时钟频率为72赫兹,具备丰富的外设资源。在设计管脚分配以及附属电路时应在参考专业手册的基础上进行,第一,对于引脚60的外接电路,芯片应处于下载设置状态,且系统完成后还应焊接0欧姆的电阻,以保持引脚的低电平状态。第二,对于晶振电路应采用8M外部晶体的振荡器,且电源与大地之间连接电容,以排除电源的耦合干扰。第三,PWM信号输出控制电路,应采用安全性较强的芯片,且在芯片输出后以及光电隔离之前设置74ACT244以有效控制信号的总输出。第四,键盘系统属于独立通信模块,设计时应按照协议要求编写通讯软件即可使用。 2.1.4功率器元件以及驱动电路GTO、MOSFET、GTR、IGBT以及IPM等均属于常用的功率开关元件,且设计期间,应根据元件管件的耐压程度、最大开关频率等因素进行选择。本次研究中,电机控制要求较高的开关频率;较小的导通阻抗以及较小的驱动功率,因此可以选择MOSFET、IPM以及IGBT。比较发现,IGBT具备大电流以及低导通阻抗的特点,可以保持开关频率;而IPM则在内部集成了过高电压、过大电流以及高温的检测系统,且可以在引脚处输出故障信号,降低了系统的损害率。但考虑到此次研究的试验性质,因此应选择IGBT的分立元件组建全桥逆变电路,并确定1200伏的耐压与25安的额定电流,上升时间为50毫秒。 2.1.5模拟量采集与故障电路

无刷直流电机控制系统的设计

1引言无刷直流电机最本质的特征是没有机械换向器和电刷所构成的机械接触式换向机构。现在,无刷直流电机定义有俩种:一种是方波/梯形波直流电机才可以被称为无刷直流电机,而正弦波直流电机则被认为是永磁同步电机。另一种是方波/梯形波直流电机和正弦波直流电机都是无刷直流电机。国际电器制造业协会在1987年将无刷直流电机定义为“一种转子为永磁体,带转子位置信号,通过电子换相控制的自同步旋转电机”,其换相电路可以是独立的或集成于电机本体上的。本次设计采用第一种定义,把具有方波/梯形波无刷直流电机称为无刷直流电机。从20世纪90年代开始,由于人们生活水平的不断提高和现代化生产、办公自动化的发展,家用电器、工业机器人等设备都向着高效率化、小型化及高智能化发展,电机作为设备的重要组成部分,必须具有精度高、速度快、效率高等优点,因此无刷直流电机的应用也发展迅速[1]。 1.1 无刷直流电机的发展概况 无刷直流电动机是由有刷直流电动机的基础上发展过来的。 19世纪40年代,第一台直流电动机研制成功,经过70多年不断的发展,直流电机进入成熟阶段,并且运用广泛。 1955年,美国的D.Harrison申请了用晶体管换相线路代替有刷直流电动机的机械电刷的专利,形成了现代无刷直流电动机的雏形。 在20世纪60年代初,霍尔元件等位置传感器和电子换向线路的发现,标志着真正的无刷直流电机的出现。 20世纪70年代初,德国人Blaschke提出矢量控制理论,无刷直流电机的性能控制水平得到进一步的提高,极大地推动了电机在高性能领域的应用。 1987年,在北京举办的德国金属加工设备展览会上,西门子和博世两公司展出了永磁自同步伺服系统和驱动器,引起了我国有关学者的注意,自此我国开始了研制和开发电机控制系统和驱动的热潮。目前,我国无刷直流电机的系列产品越来越多,形成了生产规模。 无刷直流电动机的发展主要取决于电子电力技术的发展,无刷直流电机发展的初期,由于大功率开关器件的发展处于初级阶段,性能差,价格贵,而且受永磁材料和驱动控制技术的约束,这让无刷直流电动机问世以后的很长一段时间内,都停

三相直流无刷电机驱动程序

1.检测霍尔传感器的值可以判断出转子的位置,再使能相应的上下桥臂,则能驱动电机运动;若要让电机持续转动,则必须再次检测传感器值及使能相应的上下桥臂。这里采用的是将霍尔传感器输出的三根线相边的IO口配置成外部中断,并且为边沿触发,在中断函数中加入传感器检测与上下桥臂切换程序,如此电机就能持续运转了。 2.上桥臂的控制采用IO口置高低电平来控制上桥臂的通断,下桥臂则使用单片机内部集成的三路PWM波来控制,通过控制PWM波的占空比,可以实现对电机的调速了。实际测得,占空比与电机的速度成正比例关系,在PWM波频率为20KHz时,占空比增加1%,速度增加60rpm,并在占空比为53%时达到额定转速3000rpm(空载)。 3.速度测量则采用如下公式: 电机每转一圈,霍尔值改变6次x5个周期=30次,记录边沿触发的中断次数N/30=电机转过的圈数,设运转时间为t(s)则电机转速v=N/30/t*60 rpm。即动转时间为2s时,霍尔值改变次数即为速度值,单位rpm。 4.调速:给定速度,由电机驱动板自动由当前速度平滑过渡到给定速度。实际测试发现,速度变化量很大时,电机会有突然加速或减速时的冲击;因此,调速应有一个缓冲的过程。即加速或减速应以小步进缓慢增加或减少占空比来让速度渐渐达到最终值。 #include "stm32f10x.h" #include "driver_motor.h" #define PWM_PERIOD_T 400 #define U_Up_On GPIOB->BSRR = GPIO_Pin_13 #define U_Up_Off GPIOB->BRR = GPIO_Pin_13 #define U_Dn_On GPIOA->BSRR = GPIO_Pin_8 #define U_Dn_Off GPIOA->BRR = GPIO_Pin_8 #define V_Up_On GPIOB->BSRR = GPIO_Pin_14 #define V_Up_Off GPIOB->BRR = GPIO_Pin_14 #define V_Dn_On GPIOA->BSRR = GPIO_Pin_9 #define V_Dn_Off GPIOA->BRR = GPIO_Pin_9 #define W_Up_On GPIOB->BSRR = GPIO_Pin_15 #define W_Up_Off GPIOB->BRR = GPIO_Pin_15 #define W_Dn_On GPIOA->BSRR = GPIO_Pin_10 #define W_Dn_Off GPIOA->BRR = GPIO_Pin_10 #define SU_HOR GPIOA->IDR & GPIO_Pin_15 #define SV_HOR GPIOA->IDR & GPIO_Pin_12 #define SW_HOR GPIOA->IDR & GPIO_Pin_11 //u8 Motor_Dir=0; //u8 Motor_EN=0;

直流无刷电机的控制系统设计方案

直流无刷电机的控制系统设计方案1 引言 1.1 题目综述 直流无刷电机是在有刷直流电机的基础上发展起来的,它不仅保留了有刷直流电机良好的调试性能,而且还克服了有刷直流电机机械换相带来的火花、噪声、无线电干扰、寿命短及制造成本高和维修困难等等的缺点。与其它种类的电机相比它具有鲜明的特征:低噪声、体积小、散热性能好、调试性能好、控制灵活、高效率、长寿命等一系列优点。基于这么多的优点无刷直流电机有了广泛的应用。比如电动汽车的核心驱动部件、电动车门、汽车空调、雨刮刷、安全气囊;家用电器中的DVD、VCD、空调和冰箱的压缩机、洗衣机;办公领域的传真机、复印机、碎纸机等;工业领域的纺织机械、医疗、印刷机和数控机床等行业;水下机器人等等诸多应用[1]。 1.2 国内外研究状况 目前,国内无刷直流电机的控制技术已经比较成熟,我国已经制定了GJB1863无刷直流电机通用规范。外国的一些技术和中国的一些技术大体相当,美国和日本的相对比较先进。当新型功率半导体器件:GTR、MOSFET、IGBT等的出现,以及钕铁硼、钐鈷等高性能永磁材料的出现,都为直流电机的应用奠定了坚实的基础。近些年来,计算机和控制技术快速发展。单片机、DSP、FPGA、CPLD等控制器被应用到了直流电机控制系统中,一些先进控制技术也同时被应用了到无刷直流电机控制系统中,这些发展都为直流电机的发展奠定了坚实的基础。 经过这么多年的发展,我国对无刷电机的控制已经有了很大的提高,但是与国外的技术相比还是相差很远,需要继续努力。所以对无刷直流电机控制系统的研究学习仍是国内的重要研究内容[2]。 1.3 课题设计的主要内容 本文以永磁方波无刷直流电机为控制对象,主要学习了电机的位置检测技术、电机的启动方法、调速控制策略等。选定合适的方案,设计硬件电路并编写程序调试,最终设计了一套无位置传感器的无刷直流电机调速系统。本课题涉及的技术概括如下:

直流电机控制系统设计范本

直流电机控制系统 设计

XX大学 课程设计 (论文)题目直流电机控制系统设计 班级 学号 学生姓名 指导教师

沈阳航空航天大学 课程设计任务书 课程名称专业基础课程设计 院(系)自动化学院专业测控技术与仪器 班级学号姓名 课程设计题目直流电机控制系统设计 课程设计时间: 7 月 9 日至 7 月 20 日 课程设计的内容及要求: 1.内容 利用51单片机开发板设计并制作一个直流电机控制系统。系统能够实时控制电机的正转、反转、启动、停止、加速、减速等。 2.要求 (1)掌握直流电机的工作原理及编程方法。 (2)掌握直流电机驱动电路的设计方法。 (3)制定设计方案,绘制系统工作框图,给出系统电路原理图。 (4)用汇编或C语言进行程序设计与调试。 (5)完成系统硬件电路的设计。 (6)撰写一篇7000字左右的课程设计报告。

指导教师年月日 负责教师年月日 学生签字年月日 目录 0 前言...................................................................................... 错误!未定义书签。 1 总体方案设计 ...................................................................... 错误!未定义书签。 1.1 系统方案 ...................................................................... 错误!未定义书签。 1.2 系统构成 ...................................................................... 错误!未定义书签。 1.3 电路工作原理............................................................... 错误!未定义书签。 1.4 方案选择 ...................................................................... 错误!未定义书签。 2 硬件电路设计 ...................................................................... 错误!未定义书签。 2.1 系统分析与硬件设计................................................... 错误!未定义书签。 2.2 单片机AT89C52............................................................ 错误!未定义书签。 2.3 复位电路和时钟电路................................................... 错误!未定义书签。 2.4 直流电机驱动电路设计 ............................................... 错误!未定义书签。 2.5 键盘电路设计............................................................... 错误!未定义书签。 3 软件设计 ............................................................................ 错误!未定义书签。 3.1 应用软件的编制和调试 ............................................... 错误!未定义书签。 3.2 程序总体设计............................................................... 错误!未定义书签。 3.3 仿真图形 ...................................................................... 错误!未定义书签。 4 调试分析 .............................................................................. 错误!未定义书签。

直流电机控制系统设计(1)

湖南工程学院课程设计《DSP原理及应用》 题目:直流电机控制系统设计 专业: 班级: 姓名: 学号: 指导教师: 2015年5 月19 日

摘要 直流电动机具有优良的调速特性,调速平滑,方便,调速范围广,过载能力大,能承受频繁的冲击负载,可实现频繁的无级快速起动、制动和反转;能满足生产过程中自动化系统各种不同的特殊运行要求。电动机调速系统采用微机实现自动控制,是电气传动发展的主要方向之一。采用微机控制后,整个调速系统体积小,结构简单、可靠性高、操作维护方便,电动机稳态运转时转速精度可达到较高水平,静动态各项指标均能较好地满足工业生产中高性能电气传动的要求。 本篇论文介绍了基于单片机的直流电机PWN调速的基本办法,直流电机调速的相关知识以及PWM调速的基本原理和实现方法。重点介绍了基于TMS320LF2407单片机的用软件产生PWM信号以及信号占空比调节的方法。对于直流电机速度控制系统的实现提供了一种有效的途径。 关键词:单片机最小系统;PWM ;直流电机调速,TMS320LF2407;

前言 电动机作为最主要的机电能量转换装置,其应用范围已遍及国民经济的各个领域和人们的日常生活。无论是在工农业生产,交通运输,国防,航空航天,医疗卫生,商务和办公设备中,还是在日常生活的家用电器和消费电子产品(如电冰箱,空调,DVD等)中,都大量使用着各种各样的电动机。据资料显示,在所有动力资源中,百分之九十以上来自电动机。同样,我国生产的电能中有百分之六十是用于电动机的。电动机与人的生活息息相关,密不可分。电气时代,电动机的调速控制一般采用模拟法,对电动机的简单控制应用比较多。简单控制是指对电动机进行启动,制动,正反转控制和顺序控制。然而近年来,随着技术的发展和进步,以及市场对产品功能和性能的要求不断提高,直流电动机的应用更加广泛,尤其是在智能机器人中的应用。直流电动机的起动和调速性能、过载能力强等特点显得十分重要,为了能够适应发展的要求,单闭环直流电动机的调速控制系统得到了很大的发展。而作为单片嵌入式系统的核心—单片机,正朝着多功能、多选择、高速度、低功耗、低价格、大存储容量和强I/O功能等方向发展。随着计算机档次的不断提高,功能的不断完善,单片机已越来越广泛地应用在各种领域的控制、自动化、智能化等方面,特别是在直流电动机的调速控制系统中。这是因为单片机具有很多优点:体积小,功能全,抗干扰能力强,可靠性高,结构合理,指令丰富,控制功能强,造价低等。所以选用单片机作为控制系统的核心以

基于MC33035芯片的无刷直流电机驱动系统设计

基于MC33035的无刷直流电机驱动控制系统设计 摘要 随着社会的发展和人民的生活水平提高,人们对交通工具的需求也在不断发展和提高。电动自行车作为一种“绿色产品”已经在全国各省市悄然兴起,进入千家万户,成为人们,特别是中老年人和女士们理想的交通工具,受到广大使用者的喜爱。 MC33035的典型控制功能包括PWM开环速度控制、使能控制(起动或停止) 、正反转控制和能耗制动控制。此芯片具有过流保护、欠压保护、欠流保护、又因此芯片低成本、高智能化、从而简化系统构成、降低系统成本、增强系统性能、满足更多应用场合的需要。 设计的直流无刷电机控制器是采用 MC33035 芯片控制的,以本次设计结果表明,MC33035的典型控制功能带有可选时间延迟锁存关断模式的逐周限流特性以及内部热关断等特性。电动自行车作为一种新型交通工具已经在社会上引起很大的影响并受到广大使用者的喜爱。 关键词:电动自行车,无刷直流电机,MC33035,位置传感器

THE BRUSHLESS DC MOTOR DRIVE SYSTEM DESIGN BASED ON MC33035 CHIP ABSTRACT With the rapid development of technology, new energy technologies in recent years have been widely used. For example, the small size, light weight, high efficiency, low noise, large capacity and high reliability features such as permanent magnet brushless DC motor-driven bike. MC33035 Typical control functions include open loop PWM speed control so that it can control (start or stop), reversing control and braking control. This chip is overcurrent protection, undervoltage protection, under current protection, and therefore chip cost, high intelligence, which simplifies the system structure, lower system costs, increase system performance to meet the needs of more applications. The design of the brushless DC motor controller is controlled by MC33035 chip to this design results show that, MC33035 typical time delay control with an optional latch-by-week shutdown mode current limiting characteristics, and internal thermal shutdown characteristics. Electric bicycles as a mode of transportation has caused a great impact on society and loved by the majority of users. KEY WORDS: electric-bicycle, brushless DC motor, MC33035, position sensors

直流电动机控制系统设计

X X X X X学院 题目:直流电动机控制系统 学 院 XXXXXX学院 专 业 自动化 班 级 XX班 姓 名 XXX 学 号 XXXXX 指导老师 XXX 2012年 12 月 25 日 1、 设计题目:直流电动机控制系统 1、前言 近年来,随着科技的进步,电力电子技术得到了迅速的发展,直流电机得到了越来越广泛的应用。直流它具有优良的调速特性,调速平滑、方便,调速范围广;过载能力大,能承受频繁的冲击负载,可实现频繁的无级快速起动、制动和反转;需要能满足生产过程自动化系统各种不同的特殊运行要求,从而对直流电机的调速提出了较高的要求,改变电枢回路电阻调速,改变电枢电压调速等技术已远远不能满足要求,这时通过PWM方式控制直流电机调速的方法应运而生。 采用传统的调速系统主要有以下缺陷:模拟电路容易随时间漂移,会产生一些不必要的热损耗,以及对噪声敏感等。而在用了PWM技术后,避免了以上的缺陷,实现了用数字方式来控制模拟信号,可以大幅度降低成本和功耗。另外,由于PWM 调速系统的开关频率较高,仅靠电枢电感的滤波作用就可获得平稳的直流电流,低速特性好;同样,由于开

关频率高,快速响应特性好,动态抗干扰能力强,可以获得很宽的频带;开关器件只工作在开关状态,主电路损耗小,装置效率高。PWM 具有很强的抗噪性,且有节约空间、比较经济等特点。 2、系统设计原理 脉宽调制技术是利用数字输出对模拟电路进行控制的一种有效技术,尤其是在对电机的转速控制方面,可大大节省能量,PWM控制技术的理论基础为:冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同,使输出端得到一系列幅值相等而宽度不相等的脉冲,用这些脉冲来代替正弦波或其他所需 要的波形。按一定的规则对各脉冲的宽度进行调制,既可改变逆变电路输出电压的大小,也可改变输出频率。 直流电动机的转速n和其他参量的关系可表示为 (1) 式中 Ua——电枢供电电压(V); Ia ——电枢电流(A); Ф——励磁磁通(Wb); Ra——电枢回路总电阻(Ω); CE——电势系数, ,p为电磁对数,a为电枢并联支路数,N为导体数。 由式(1)可以看出,式中Ua、Ra、Ф三个参量都可以成为变量,只要改变其中一个参量,就可以改变电动机的转速,所以直流电动机有三种基本调速方法:(1)改变电枢回路总电阻Ra;;(2)改变电枢供电电压Ua;(3)改变励磁磁通Ф。 3、方案选择及论证 3.1、方案选择 3.1.1、改变电枢回路电阻调速 可以通过改变电枢回路电阻来调速,此时转速特性公式为 n=U-【I(R+Rw)】/KeФ (2)式中Rw为电枢回路中的外接电阻(Ω)。 当负载一定时,随着串入的外接电阻Rw的增大,电枢回路总电阻R= (Ra+Rw)增大,电动机转速就降低。Rw的改变可用接触器或主令开关切换来实现。 这种调速方法为有级调速,转速变化率大,轻载下很难得到低速,

(完整版)无刷直流电机经典换相方式

1、引言 你希望在你的新产品中使用无刷伺服电机吗?平时,我们可能也常碰到一些关键词,例如“梯形波式”,“正弦波式”和“矢量控制”。只有当你了解了他们的真正含义,才能在你的新设计中选择正确的产品。 在过去的十年甚至二十年中,伺服电机市场已经从有刷伺服转变成无刷伺服的市场,这主要是由无刷伺服的低维修率和高稳定性所决定的。在这十几年中,驱动部分在电路和系统方面的技术已发展的非常完善。控制方式也已经完全可以实现那些关键词所描述的功能。 大部分的高性能的伺服系统都采用一个内部控制环来控制力矩。这个内部的力矩环通过和外部的速度环和位置环的配合以达到不同的控制效果。外部控制环的设计是与匹配的电机没有关系的,而内部的力矩环的设计则与所匹配的电机的性能息息相关。 有刷电机的力矩控制是非常简单的,因为有刷电机自身可完成换相工作。所输出的力矩是和有刷电机两极输入的直流电压成正比的。力矩也可通过P-I控制回路轻松地得到控制。P-I控制回路的主要功能就是通过检测电机实际电流和控制电流之间的偏差,实时地调整电机的输入电压。 图1 由于无刷电机自身没有换相功能,所以相对应的控制方式就比较复杂。无刷电机有三组线圈,有别于有刷电机的两组线圈。为了获得有效的力矩,无刷电机的三组线圈必须根据转子的实际位置进行相互独立的控制。这种驱动方式就充分地说明了对无刷电机控制的复杂性。 2、无刷电机基础 简单来说,无刷电机主要由旋转的永磁体(转子)和三组均匀分布的线圈(定子)组成,线圈包围着定子被固定在外部。电流流经线圈产生磁场,三组磁场相互叠加形成一个矢量磁场。通过分别控制三组线圈上的电流大小,我们可以使定子产生任意方向和大小的磁场。同时,通过定子和转子磁场之间的相互吸引和排斥,力矩便可自由地得到控制。

直流电机控制系统设计

XX大学 课程设计 (论文) 题目直流电机控制系统设计 班级 学号 学生姓名 指导教师

航空航天大学 课程设计任务书 课程名称专业基础课程设计 院(系)自动化学院专业测控技术与仪器 班级学号 课程设计题目直流电机控制系统设计 课程设计时间: 2012年7 月9 日至2012年7 月20 日 课程设计的容及要求: 1.容 利用51单片机开发板设计并制作一个直流电机控制系统。系统能够实时控制电机的正转、反转、启动、停止、加速、减速等。 2.要求 (1)掌握直流电机的工作原理及编程方法。 (2)掌握直流电机驱动电路的设计方法。 (3)制定设计方案,绘制系统工作框图,给出系统电路原理图。 (4)用汇编或C语言进行程序设计与调试。 (5)完成系统硬件电路的设计。 (6)撰写一篇7000字左右的课程设计报告。 指导教师年月日 负责教师年月日

学生签字年月日 目录 0 前言 (1) 1 总体方案设计 (2) 1.1 系统方案 (2) 1.2 系统构成 (2) 1.3 电路工作原理 (2) 1.4 方案选择 (3) 2 硬件电路设计 (3) 2.1 系统分析与硬件设计 (3) 2.2 单片机AT89C52 (3) 2.3 复位电路和时钟电路 (4) 2.4 直流电机驱动电路设计 (4) 2.5 键盘电路设计 (4) 3 软件设计 (5) 3.1 应用软件的编制和调试 (5) 3.2 程序总体设计 (5) 3.3 仿真图形 (7) 4 调试分析 (9) 5 结论及进一步设想 (9) 参考文献 (10) 课设体会 (11)

附录1 电路原理图 (12) 附录2 程序清单 (13)

什么是无刷电机的矢量控制技术

什么是矢量控制?它有什么优点? 你希望在你的新产品中使用无刷伺服电机吗?平时,我们可能也常碰到一些关键词,例如“梯形波式”,“弦波式”和“矢量控制”。只有当你了解了他们的真正含义,才能在你的新设计中选择正确的产品。 在过去的十年甚至二十年中,伺服电机市场已经从有刷伺服转变成无刷伺服的市场,这主要是由无刷伺服的低维修率和高稳定性所决定的。在这十几年中,驱动部分在电路和系统方面的技术已发展的非常完善。控制方式也已经完全可以实现那些关键词所描述的功能。 大部分的高性能的伺服系统都采用一个内部控制环来控制力矩。这个内部的力矩环通过和外部的速度环和位置环的配合以达到不同的控制效果。外部控制环的设计是与匹配的电机没有关系的,而内部的力矩环的设计则与所匹配的电机的性能息息相关。 有刷电机的力矩控制是非常简单的,因为有刷电机自身可完成换相工作。所输出的力矩是和有刷电机两极输入的直流电压成正比的。力矩也可通过P-I控制回路轻松地得到控制。P-I 控制回路的主要功能就是通过检测电机实际电流和控制电流之间的偏差,实时地调整电机的输入电压。 图一 由于无刷电机自身没有换相功能,所以相对应的控制方式就比较复杂。无刷电机有三组线圈,有别于有刷电机的两组线圈。为了获得有效的力矩,无刷电机的三组线圈必须根据转子的实际位置进行相互独立的控制。这种驱动方式就充分地说明了对无刷电机控制的复杂性。 无刷电机基础 简单来说,无刷电机主要由旋转的永磁体(转子)和三组均匀分布的线圈(定子)组成,线圈包围着定子被固定在外部。电流流经线圈产生磁场,三组磁场相互叠加形成一个矢量磁场。通过分别控制三组线圈上的电流大小,我们可以使定子产生任意方向和大小的磁场。同时,通过定子和转子磁场之间的相互吸引和排斥,力矩便可自由地得到控制。

相关文档
最新文档