篮球竞赛30s计时器

篮球竞赛30s计时器
篮球竞赛30s计时器

课程设计说明书

课程设计名称:数字电路课程设计课程设计题目:篮球竞赛30s计时器学院名称:科技学院

专业:通信工程班级:1082041

学号:18 姓名: 刘金钊

评分:教师:

摘要

篮球是现在学生酷爱的运动项目之一。无规矩不成方圆,同样,篮球比赛也有约束其开展的赛制。篮球比赛中,一场比赛都是以时间计算的。在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。一旦球员的持球时间超过了30秒,它自动的报警从而判定球员的犯规。

本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接启动、暂停、连续、清零以及具有光电报警功能。课设原理包括信号发生、时序控制电路、计数器、译码显示、光电报警五部分。信号发生部分,用到555芯片,构成多谢振荡电路,产生脉冲信号。时序控制电路部分,以RS触发为主体构成。利用74LS192十进制可逆计数器构成计数器部分,译码显示可选择CD4511BC或74LS48均可。本设计主要能完成:显示30秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为30秒递减计时其计时间隔为1秒;计时器递减计时到零时,同时发出光电报警信号等。

由于30秒计时器的设计的应用,使得篮球比赛朝着更加公平合理,紧张激烈和富有魅力的方向发展。

关键词:555 多谢振荡电路 RS触发 74LS192 倒计时 30秒

目录

前言 (4)

第一章设计内容 (5)

设计要求 (5)

第二章系统设计方案 (6)

2.1 计时器的组成框图 (6)

2.2系统方案及选择 (6)

2.21 方案一 (6)

2.22 方案二 (7)

第三章系统电路设计 (9)

3.1 秒脉冲发生器 (9)

3.2 30进制递减计数器 (10)

3.3 译码显示电路 (10)

3.4 光电报警电路 (11)

3.5 时序控制电路设计 (11)

第四章安装与调试 (13)

第五章结论与心得 (15)

附录一总电路图 (16)

附录二各芯片管脚图及功能表 (16)

附录三元件清单 (17)

附录四参考文献 (18)

前言

篮球比赛规则从最初的13条发展至今更趋于合理、公平,其中重要是一条便是对时间的规定。美国队一直都是奥运赛场上篮球比赛的最大赢家,1952年第15届奥运会篮球比赛苏联队对美国队的冠亚军争夺大赛,大概是篮球史上最没有意义的一场比赛!不仅双方的比分低的可怜,而且两队所采用的战术更令人扫兴。最后苏联队以25:36败给美国队,获得银牌。而原因就是当时没有30s 和球回后场违例的规则。苏联利用这一空子,采用稳扎稳打,步步为营的战术逼近美国队,不断缠美国队员,让美国队员难以出手投篮。而美国队由于水平较高,则采用在领先的情况下打控制球,把球来回的倒的泡时间方法。其他各队见这一招好使,都纷纷效仿,从而使得快速激烈的篮球运动变成了“老爷车”式的运动。1956年国际篮联出决定,增添了30s规则,限制了这种“老爷球”的打法。从此,慢吞吞的“老爷球”打法终于在篮球历史上彻底消失了。

而现代篮球打法更趋于科技化,本试验就是一个体现—30s计时器的设计。科技的进步促使该相竞技运动更加精彩。

投篮竞赛30秒计时器在篮球比赛中有很重要的作用,规范了比赛及赛场秩序。处在多元化社会的今天,会有很多赛事需要用到电子设备。作为一名学习知识的青年,应该学好基础知识,设计出是人民满意的东西,产出人性化和自能化的电子产品,另一方面电子产品不断的更新,需要我们更加扎实的基础。

本课设主要利用各芯片功能,从而构成功能电路,主要实现倒计时功能。整个电路的设计借助了multisim 2010仿真软件和数字逻辑电路相关理论知识,并在multisim 2010下设计和进行仿真,得到了预期的结果。最后,运用Protel 99SE进行了PCB电路板的设计。

第一章设计内容及要求

设计内容:

设计重点在于对30s计时器进行整体的分析和构思,研究其在起动、暂停/连续以及报警条件下,实现这些功能所需的模块。设计主要包括信号发生、时序控制电路、计数器、译码显示、光电报警五部分。信号发生部分产生正弦波信号,时序控制电路控制使外部操作开关,控制计数器的直接清零、启动和暂停/连续功能,计数器实现30S倒计时功能,之后译码器译码显示。计数器完成30秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。

基本要求:

1、具有显示30S计时功能;

2、设置外部操作开关,控制计数器的直接清零、启动和暂停/连续功能;

3、在直接清零时,要求数码管显示器灭灯;

4、计时器为30S递减计时,计时间隔为1S;

计时器递减计时器到零时,数码管显示器不能灭灯,同时发出光电报警信号。

第二章 系统设计及方案选择

2.1计时器的组成框图

用计时器对1Hz 时钟信号进行计数,其计数值即为定时时间。根据设计要求可知,计数器初值为30,按递减方式计数,减到0时,输出报警信号,并能控制计数器暂停/连续计数,所以需要设计一个可预置初值的带使能控制端的递减计时器。总思路设计框图如下所示:

图2.11 总思路设计框图

2.2 系统方案及选择 2.21 【方案一】:

第一部分:利用NE555构成占空比及频率可调的脉冲发生电路(多谐振荡电路),555脉冲形成电路是由555芯片、电阻和电位器够成占空比可调的无稳态多谐振荡器,产生时钟脉冲,以下是555芯片功能表、原理图及管脚图:

表2-21 555芯片功能表 图2.22 555芯片原理图及管脚图

第二部分:由74LS192十进制可逆计数器,构成30进制递减计数器,预置数为8421BCD 码0011 0000,即十进制的30,根据功能可以看出,通过控制CPu 、CPd 端输入,起到加减计数的功能,本实验用到的是减计数,芯片功能表如下所示;

译码显示

报警电路

计数器 秒脉冲发生器 控制电路

外部操

作开关

表2-23 74LS192功能表

第三部分:译码显示部分由8421BCD码译码器74LS48及数码管构成,起到对倒计时数据译码显示的作用,74LS48是一种常用的七段数码管译码器驱动器;

表2-24 74LS48功能表

第四部分:光电报警部分包括发光二极管、蜂鸣器及电阻组成,在计时器倒计时为零时,发出警报提醒,电阻起到的是分压的作用;

2.22 【方案二】

鉴于方案一在电路焊接完成后,未能使电路实现计数器的直接清零、启动和暂停/连续功能,故对方案一进行了一定的改进。

第一部分:利用NE555构成占空比及频率可调的脉冲发生电路(多谐振荡电路),555脉冲形成电路是由555芯片、电阻和电位器够成占空比可调的无稳态多谐振荡器,产生时钟脉冲:

第二部分:由74LS192十进制可逆计数器,构成30进制递减计数器,预置数为8421BCD 码0011 0000,即十进制的30,通过控制CPu、CPd端输入,起到加减计数的功能,本实验用到的是减计数;

第三部分:译码显示部分由8421BCD码译码器74LS48及数码管构成,起到对倒计时数据译码显示的作用,74LS48是一种常用的七段数码管译码器驱动器;

第四部分:光电报警部分包括发光二极管、蜂鸣器及电阻组成,在计时器倒计时为零时,发出警报提醒,电阻起到的是分压的作用;

第五部分:时序控制电路部分,主要由RS触发电路构成,为了保证满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系,通过外部开关的控制,起到计数器的直接清零、启动和暂停/连续功能。

两方案相比较,方案一较为简单,但在功能上,缺少时序控制电路部分,导致电路无法实现计数器的直接清零、启动和暂停/连续功能。方案二在其基础上添加时序控制电路,完成了改功能的实现。故在电路功能的实现上,方案二较优于方案一。根据电路方案的设计及选择,得到图2.11 总思路设计框图。

图2.11 篮球竞赛30s计时器电路图

第三章系统电路设计

3.1秒脉冲发生器

秒脉冲发生器是电路的时钟脉冲和定时标准,本设计对此要求不高。电路采用555集成电路组成的多谢振荡器构成。信号发生部分用555定时器设计成一个周期为1s的多谐振荡电路,将其输出端通过门电路给十进制计数器输入时钟信号。接着通过十进制计数器的进位端提供秒脉冲。

图3.1 555组成多谢振荡电路

秒脉冲发生器的设计一般用555定时器设计成多谢震荡电路产生脉冲信号。该设计要求振荡周期为1秒,输出脉冲幅度大于3V小于5V。输出脉冲的占空比q=2/3,根据要求电源电压取5V。

该电路的充放电时间及输出脉冲的周期如下:

充电时间:T1=R1C2ln2 式(3.1-1)

放电时间:T2=R2C2ln2 式(3.1-2)

周期:T=T 1+T 2=(R 1+R 2)C 2ln2 式(3.1-3) 3.2 30进制递减计数器

74LS192是十进制加/减可逆计数器,图2.3所示电路是选用该芯片设计的可预置计数初值递减计数器。30进制递减计数器的预置数为8421BCD 码0011 0000,即十进制的30。电路采用串行进位方式级联,其计数原理是,当制数端LD 为1,清零端CR 为0,且加计数端CPu 为1时,在CP 时钟脉冲上升沿的作用下,计数器在预置数的基础进行递减计数。每当个位计数器减计数到0时,其1BO 发出一个负脉冲,作为十位计数器减计数的时钟信号,使十位计数器减1计数。当高、低位计数器处于全0,同时在D CP 为0期间,高位计数器2LD =2BO =0,计数器重新进行异步置数,之后高位计数器2LD =2BO =1,计数器在D CP 时钟脉冲作用下,进入下一轮减计数。

图3.2 30进制递减计数部分电路

3.3 译码显示电路

为了保证计数器输出,数码管显示成功,故用到8421BCD 码译码器74LS48。74LS48芯片是一种常用的七段数码管译码器驱动器,是8421BCD 译码器。电路

如图2.4所示:

U4

74LS48D

图3.3 译码显示电路

3.4 光电报警电路

根据任务要求,当计时器递减计时到零时,发光二极管及蜂鸣器导通,发出光电报警信号。设计以下电路控制,如图2.5所示:

图3.4 光电报警部分电路

此部分电路设计相对简单,主要是将计数器中“00”信号传输到电路中,从而实现报警效果。

3.5 时序控制电路设计

为了保证满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系,时序控制电路要完成以下两个功能:

1)当启动开关闭合时,控制电路应封锁时钟信号CP (秒脉冲信号),同时计数器完成置数功能,译码显示电路显示30S 字样;当启动开关断开时,计数器开始计数。

2)当暂停/连续开关拨到暂停位置上时,计数器停止计数,处于保持状态;当暂停/连续开关拨在连续时,计数器继续累计计数。

根据以上功能要求,设计的时序控制电路如图2-7所示。图中,与非门U3A、U3B 的作用是控制时钟信号CP的放行与禁止,当U3B输出为1时,U3A关闭,封锁CP信号;当U3B输出为0时,U3A打开,放行CP信号,而U3B的输出状态又受外部操作开关X2、X3(即启动、暂停/连续开关)的控制。图中,U3A空脚输入CP信号U5B输出端接芯片74LS192LD端。

C1

10μF 图3.5 时序控制电路

第四章安装与调试

4.1 电路的安装

电路安装要注意几个原则:

1、先矮后高、先小后大、先放耐焊元器件等;

2、布线尽量使电源线和地线靠近实验电路板的周边,以起一定的屏蔽作用;

3、最好分模块安装。此外焊接时不能出现虚焊、假焊、漏焊,更不能出现过焊,因为有些器件,不能耐高温,比如焊接时,电烙铁绝对不能停留太久;

4、由于本课设电路相对复杂,因此,在焊接过程中,应在一个模块完成时就开始进行检查,以防出现最后焊接错误,却难以找出原因的现象,便于检查;

5、在焊接的时候要注意尽量少用导线连接电路,用焊锡丝连接电路,使作品更为美观;

6、芯片管脚在连接时,应特别注意管脚的辨识,以防出现因管脚接错的原因,导致实验结果出错;

7、在布局上要合理的安排电路,便于之后焊接的方便。

4.2 电路的调试

调试时应小心谨慎,电路安装完毕后,首先应检查电路的电源线和地线的走向,防止因为电源线或地线接错而导致烧坏芯片或者是电源等现象。然后接电调试。如果遇到问题,则应该根据产生的问题,加上对原理图的分析,首先找到可能出错的地方。在调试过程中先要接好线,特别是接电源线及地线。为了便于电源与地的区分,在焊接中尽量用不同颜色的导线,在最后完成时,将所有的电源线、地线放到一起,以防接电源时漏接导线。

本课设电路设计中采用的均为定值元器件,主要是电路焊接及原理的问题,故在焊接完毕后,先主要针对原理图,检查电路是否焊接正确,是否存在短接之类问题。在确保电路的正确性后,再接电源查看功能。如若出现功能不能实现,则应更具原理,查看该模块电路,从而进行修改,得到结果。

4.3 电路的分析

本课程设计是脉冲数字电路的简单应用,需要我们很好的理解各芯片的原理

及应用。在电路设计中,应先从应用芯片的功能着手了解,进而设计电路。

电路主要包括信号发生、时序控制电路、计数器、译码显示、光电报警五部分。分别运用了555芯片构成的多谢振荡电路、74LS192十进制可逆计数器、8421BCD译码器、数码管及光电报警部分电路,共同完成了本次课程设计的原理设计。

最后完成后,电路基本可以运行。但由于采用的方案一并不十分完善,因此,外部开关调控上还存在一定的问题。最后,完善方案二,加入了时序控制电路,继而能够较好的控制其功能。

第五章结论与心得

本设计主要通过模块化思想,逐步实现设计所需达到的部分功能要求:

1)具有显示30S计时功能;

2)计时器为30S递减计时,计时间隔为1S ;

3)可实现开关式直接清零,切数码管之后不灭灯。

存在的问题:

1)光电报警部分不理想;

2)由于缺少时序控制电路,不能做到电路控制清零功能,及外部开关控制。

此次课程设计采用的电路原理基于数字电路的基本知识,主要永运的是芯片控制电路。因此,电路设计中,要求我们能够很好地理解各应用芯片的功能。设计中,通过对方案一电路的改进,能够完成要求任务。通过对两方案的比较,加深了对原理的认知。也通过本次课程设计,巩固了对数字电路的学习。基本完成任务。最后,针对设计电路,应用到Protel 99SE软件进行了PCB电路板的设计。

在本次课程实际中通过自己选题、找材料、分析、设计等,也掌握了一些软件的操作方法,为以后学习做了铺垫。整个设计实现了从单一的理论学习到实际问题的解决。通过本次课设,提高了动手能力,培养了了寻找问题解决问题的的能力。在设计中,充分应用了所学的知识,例如:集成电路74LS系列、555芯片构成的多谢振荡电路等。

附录一:总电路图

U9

A B C D

E F G

CK

U10

A B C D E F G

CK

U374LS48D

A 7

B 1

C 2D

6

O A 13O D 10O E 9O F 15O C 11O B 12O G

14

~L T 3~R B I 5~B I /R B O

4

U4

74LS48D

A 7

B 1

C 2D

6

O A 13O D 10O E 9O F 15O C 11O B 12O G

14

~L T 3~R B I 5~B I /R B O

4

R1100Ω

R2100Ω

U1

74LS192D

A 15

B 1

C 10

D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14U2

74LS192D A 15B 1C 10D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14VCC

5V

VCC 5V U6A

74LS08D

U5A

74LS08D

R3

300Ω

VCC

5V

U7A

74LS00D U8A

74LS00D

R41.0kΩ

VCC

5V J2Key = B

J3

Key = C

VCC 5V

R51.0kΩ

A1

555_VIRTUAL

GND DIS OUT

RST VCC

THR CON

TRI VCC

5V

R6100Ω

R7600Ω

C110μF C2

10nF

LED1

附录二: 各芯片管脚图及功能表

74LS48管脚图

74LS192管脚图

74LS00(Y=AB)

附录三: 元件清单

元件清单

编号原件型号数量

1 电阻100 3

2 电阻300 1

3 电阻600 1

4 电阻1K 2

5 发光二极管 1

6 芯片555 1

7 芯片74LS192 2

8 芯片74LS48 2

9 芯片74LS00 1

附录四:

参考文献

【1】阎石.数字电子计数基础(第五版)[M]. 北京:高等教育出版社.2006. 【2】罗杰.谢自美.电子线路设计.实验.测试(第四版)[M]. 北京:电子工业出版社.

【3】谢自美.电子线路设计.实验.测试(第三版)[M].武汉:华中科技大学出版社.2006.

篮球比赛24秒倒计时电路的设计与制作

篮球比赛24秒倒计时电路的设计与 制作 中国工程物理研究院工学院赵应泽 龙江 一、电路组成 电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见图1。其整机电路如图2所示,印制板电路如图3所示。

1.秒脉冲发生器

秒脉冲产生电路由555定时器和外接元件R1、R2、c构成多谐振荡器。输出脉冲的频率为: 经过计算得到.f≈IHz,即1秒。2.计数器 计数器由两片74Lsl92同步十进制可逆计数器构成。 利用减计数利用预置数,实现计数器按8421码递减进行减计数。利用借位输出端与下一级的cP。连接,实现计数器之间的级联。

利用预置数端实现异步置数。当R。=0,且时,不管cPU 和cPD时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即Q3Q2Q1Q0=D3D2D1D0。 3.译码及显示电路 本电路由译码驱动74Ls48和7段共阴数码管组成。74Ls48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。 4.控制电路 完成计数器的复位、启动计数、暂停

/继续计数、声光报警等功能。控制电路由Ic5组成。Ic5B受计数器的控制。Ic5c、Ic5D组成Rs触发器,实现计数器的复位、计数和保持"24"、以及声、光报警的功能。 (1)K1:启动按钮。K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。当K1闭合时,计数器开始计数。 (2)K2:手动复位按钮。当按下I(2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即"24"。当松开K2时,计数器从24开始计数。

篮球竞赛30s计时器

沈阳航空航天大学 课程设计 (说明书) 篮球竞赛30秒计时器设计 班级机电1303 学号2013040604110 学生姓名曾闯 指导教师孙琦

一、概述 随着信息时代的到来,电子技术在社会生活中发挥越来越重要的作用,运用模电和数电知识设计电子产品成为社会生活不可缺少的部分。就计时器来说,成为检验运动员成绩的一种重要工具。 电子课程设计是电子技术学习中一个重要的环节,可以真正的锻炼学生的能力。在许多领域中计时器得到了普遍应用,如体育比赛,定时报警器,游戏中的倒时器,交通信号灯,红绿灯,行人灯等,说明了计时器的重要性。篮球竞赛中规定了球员持球的时间不能超过30s,否则就为犯规,本课程设计的正是“篮球竞赛30s计时器”,可用于篮球比赛中,对球员持球时间做30s限制,一旦球员持球时间超过30s,它自动报警从而判断球员犯规。 本次课设要求完成一个用于篮球竞赛的30秒计时器。 设计要求 ①篮球竞赛30秒计时器的直流稳压电源要求自己设计。 ②在选择器件时,应考虑成本,要求采用LED灯显示。 ③根据技术指标,通过分析计算确定电路和元器件参数。 ④画出电路原理图(元器件标准化,电路图规范化)。 二、方案设计 该电路包括脉冲发生器、计数器、译码显示电路、控制电路、报警电路、直流稳压电源6个部分组成。计数器和控制电路是主要部分。计数器完成30s计时功能,控制电路完成启动计数、暂停/连续计数、译码显示电路的显示和灭灯等功能。总体设计框图如下: 在篮球比赛过程中经常需要计时操作,设计一个用于篮球竞赛的30秒计时器。其原理如图1所示。 图1 篮球竞赛30秒计时器原理框图 能完成的功能:①显示30s倒计时功能;②系统外部设置操作开关,控制计时器直接清零、启动和连续/暂停功能;③在清零时数码管显示“00”;④计时

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

篮球24s计时器课程设计

数字电路课程设计报告 课程设计名称篮球比赛24s计时器设计 指导老师XXXXXX 学院水电学院 专业班级XXXXXXXXXXX1班 姓名XXXX 学号XXXXXX 联系电话XXXXXXX 日期2011-12-29

摘要 数字电子技术主要研究各种逻辑门电路、集成器件的功能及其应用,逻辑门电路组合和时序电路的分析和设计、集成芯片各脚功能.555定时器等. 随着计算机科学与技术突飞猛进地发展,用数字电路进行信号处理的优势也更加突出。 数字电子技术课程设计是数字电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 本课程设计是脉冲数字电路的简单应有,设计了篮球竞赛24秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便的实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要有以下3个部分组成,即计时模块、控制模块、以及译码显示模块。在设计计时器时,采用模块化设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 在本课程设计任务书中对篮球竞赛24秒计时器的功能以及它的原理做了介绍。 关键字:24秒计时器七段数码管译码显示电路控制电路报警电路 一计数器概述 1.1篮球竞赛24秒计时器功能 数字电子技术在社会生活中发挥着越来越重要的作用,在生活中有着各种各样的应用。因此课程设计是数字电子技术学习中非常重要的一个环节,它将学生的理论知识和实践能力统一起来,为以后的工作做好准备。 在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒计时器。此计时器功能齐全,有显示24秒倒计时的功能,同时系统设置外部操作开关,控制计时器的直

篮球比赛24秒计时器

湖南工业大学 数字电路课程设计 指导老师:张学毅 学院:电气与信息工程 班级:电气工程1001 姓名:席献斌 学号:10401701008

一、制作任务 制作一个时间计时器,用于篮球赛控制时间的限时警示电路。 二、设计要求 1、具有24秒计时功能 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时,计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 三、总体参考方案

包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示与灭灯等功能。当计时器递减计时到零(既定时时间到)时,显示器上显示00,同时二极管闪亮。 设计思路:秒脉冲信号经过递减计数器,译码器,再由数码管显示出来,中间包括控制电路。 四、主要元器件原理介绍 1、共阴极数码管 数码显示器可显示系统的运行状态及工作数据,我们所选用的是发光二极管(LED)显示器,它分为两种,共阴极(BS201/202)与共阳极(BS211/212),我们所选的是共阴极,它是将发光二极管的阴极短接后作为公共极,当驱动信号为高电平时,阴极必须接低电平,才能够发光显示。共阴极数码管的外引脚及内部电路如下图:

2、七段显示译码器74LS48 驱动共阴极显示器的译码器输出为高电平有效,所以选用74LS48驱动共阴极的发光二极管显示器。 下图是74LS48外引线排列图与功能表: 74LS48工作原理:译码器输入端为二进制码,经译码器后,输出端分别与七段显示器的的输入端对应连接。⑴消隐(灭灯)输入端BI为低电平有效。当消隐(灭灯)输入端BI =0 时,不论其余输入端状态如何,所有输出为零,数码管七段全暗,无任何显示;当消隐输入端BI =1 时译码器译码。⑵灯测试(试灯)输入端LT 为低电平有效。当灯测试(试灯)输入端=0(/ =1)时,不论其余输入端状态如何,所有输出为1,数码管七段全亮,显示8。可用来检查数码管、译码器有无故障;当灯测试输入端LT =1 时译码器译码。⑶脉冲消隐(动态灭灯)输入RBI 为低电平有效。当RBI =1时,对译码器无影响;当BI =LT =1 时,若RBI =0,输入数码是十进制的零时,数码管七段全暗,不显示;输入数码不为零时,则照常显示。在实际使用中有些零是可以不显示的,如004.50 中的百位的零可不显示;若百位的零可不显示,则十位的零也可不显示;小数点后第二位的零,不考虑有效位时也可不显示。脉冲消隐输入RBI =0 时,可使不显示的零消隐。

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

电子线路课程设计—篮球竞赛30秒计时器汇总

目录 1 设计课题任务、功能要求说明及总体方案介绍 (1) 1.1 设计课题任务 (1) 1.2 功能要求说明 (1) 1.3 总体方案介绍 (1) 2 单元电路的设计 (2) 2.1 秒脉冲发生器 (2) 2.2 计数器 (4) 2.3 译码显示 (4) 2.4 控制电路 (5) 2.5 报警电路 (5) 2.6 电路原理图和PCB图 (5) 3 芯片引脚及功能说明 (6) 3.1 555定时器 (6) 3.2 74LS161 (7) 3.3 74LS192 (7) 3.4 74LS48 (8) 4 仿真结果及分析 (10) 4.1 仿真总原理图 (10) 4.2 仿真结果 (11) 小结 (12) 元件清单 (13) 参考文献 (14) 附录 (15) 附录A:电路原理图 (15) 附录B:电路PCB图 (16)

1 设计课题任务、功能要求说明及总体方案介绍 1.1 设计课题任务 设计并制作一个篮球竞赛计时器 1.2 功能要求说明 1.准确计时,具有显示30秒计时功能; 2.设置外部操作开关,控制计时器的直接清零、启动和暂停、连续功能; 3.计时器为30s递减计时器,其计时间隔为1s; 4.计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 1.3 总体方案介绍 篮球竞赛30秒计时器的总体方案框图如图1.1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。其中计数器和控制电路时系统的主要模块。计数器完成30秒技术功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示、定时完成报警等功能。 图1.1 30秒计时器的总体方案框图

数电课程设计篮球24秒倒计时

目录 前言 ............................................ 错误!未定义书签。第一章计时器 ................................................ - 2 - 1.1篮球竞赛24秒计时器功能................................ - 2 - 1.2 设计任务及要求 (2) 1.2.1基本要求 (2) 1.2.2 设计任务及目标..................................... - 2 - 1.2.3 主要参考器件:..................................... - 3 - 第二章电路设计原理与单元模块 .. (3) 2.1 设计原理 (4) 2.2 设计方案 (4) 2.3 单元模块 (4) 2.3.1 8421BCD码递减计数器模块 (5) 2.3.2 时钟模块 (7) 2.3.3 辅助时序控制模块 (8) 第三章实验体会 (10)

第一章计时器概述 1.1篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 1.2 设计任务及要求 1.2.1基本要求 1. 具有24秒计时功能。 2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3. 在直接清零时,要求数码显示器灭灯。 4. 计时器为24秒递减时, 计时间隔为1秒。 5. 计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

数电课程设计-篮球24s倒计时器

课程设计报告 课题名称:篮球比赛24秒倒计时电路的设计 (Basketball 24 seconds countdown circuit design)专业:xxxxxxx 班级:xxxxxxx 学号:xxxxxxx 学生姓名:xxxxxxx 指导教师:xxxxxxx x年x月x日

课程设计目的 1、围绕课程设计的内容,培养学生查询相关资料以及文献检索的能力; 2、培养学生对以往所学知识的综合运用能力;在理解透课堂所讲知识的基础上,提高学生 的自学能力; 3、培养学生了解并逐步熟悉科学研究的整个过程,养成良好的科学态度以及实事求是、严 谨塌实的工作作风;培养学生独立分析问题和解决问题的科学研究的能力; 课程设计内容 (1)设计要求: ① 设计一个篮球比赛24秒计时器,具备显示24秒计时功能; ②计时器为递减工作,时间间隔为1S : ③设置外部开关,控制计时器的启动、暂停及清零; ④递减到零时发出声光报警 : ⑵原理方框图图: 包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路) 等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1其中计数器和控制电路是 系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计 数器、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。当计时器递 减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。 ⑶实际电路图: 秒脉冲 发生器 计数器 译码 显示 控制电路 报警 电路

⑷单元电路: ①8421BCD 码加法计数器模块 计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同 步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。 图1是74LS192外引脚及时序波形图。图中U CP 、D CP 分别是加计数、减计数的时钟脉冲输入端(上升沿有效)。LD 是异步并行置数控制端(低电平有效), CO 、BO 分别 是进位、借位输出端(低电平有效),CR 是异步清零端,D3-D0是并行数据输入殿,Q3-Q0 是输出端。 图1 74LS192外引脚及时序波形 74192的功能表见下表2所示。其工作原理是:当LD =1,CR=0时,若时钟脉冲加到U CP 端,且D CP =1则计数器在预置数的基础上完成加计数功能,当加计数到9时,CO 端发出 进位下跳变脉冲;若时钟脉冲加到U CP 端,且D CP =1,则计数器在预置数的基础上完成加计数功能。 表2 74LS192功能表

NBA篮球竞赛24秒计时器设计

NBA篮球竞赛24秒计时器的设计 一.计时器电路的设计要求 要求:设计一个24秒计时器显示报警系统:电路启动后开始倒计时,计时间隔为1s,并要求由555定时器构成的多谐振荡器产生周期为1s的脉冲信号,作为计数器的时钟脉冲, 二位7段显示秒,倒计时至0秒时,电路报警。报警声持续3S,显示器不能灭灯.计时器的直接清零、启动和暂停/连续功能利用外部开关控制。 二.系统设计结构框图 根据给定设计任务及要求,确定系统原理框图如图1所示。图中,计数器完成24秒倒计时功能, 控制电路完成计数器的直接清零、启动计数、暂停/连续计时,译码显示电路的显示与熄灭、定时时间到报警功能。 图1.系统设计结构框图 三.电路设计所采用的主要芯片简介 1.74LS192集成计数器 (1)74LS192引脚图如下

2.555定时器 555 定时器是一种模拟和数字电路混合的集成电路。它结构简单、性能可靠、使用灵活,在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。目前生产的定时器有双极型和CMOS 两种类型.通常,双极型定时器具有较大的驱动能力,而CMOS 定时器具有低功耗、输入阻抗高等优点。555 定时器工作的电源电压范围很宽,并可承受较大的负载电流。 74LS47 用于驱动共阳极数码管,其输出端与七段显示器相连,七段显示器用于显示数字和字符。它由7 条发光二极管(a~g)和 1 个点状发光二极管(h) 组成。是共阴极器件(阴极接地),给a~g 高电平,相应的二极管发光。

四.电路各个功能部分设计 1.标准秒脉冲发生电路 标准秒脉冲发生电路如图2所示, 它是由555定时器组成的多谐振荡器。定时元件R1 为630kΩ, R2 为400kΩ, C为1μF,产生1Hz的标准脉冲信号。 计算公式如下: 充电时间为=(+)Cln2 ≈ 0.7 (+) C 放电时间为=Cln2 ≈ 0.7 C 振荡周期为T =+≈ 0.7 (+ 2 ) C 振荡频率为 图2.标准秒脉冲发生电路

篮球24秒计时器课程设计报告

课程设计 题目篮球竞赛24秒计时器 学院自动化学院 专业自动化 班级自动化0902 姓名李鑫 指导教师杨莉林伟 2011 年 6 月30 日 目录 摘要 (2) ABSTRACT (3) 第1章设计任务及基本要求 (4) 1.1设计任务 (4) 1.2基本要求 (4) 第2章电路框图及工作原理 (4) 2.1电路框图 (4) 2.2 设计方案 (5) 第3章各单元电路的设计 (5) 3.1 24进制计数器的设计 (5) 3.2数码显示电路的设计 (7) 3.3秒脉冲的设计 (8) 3.4各控制开关的设计 (9) 3.5报警电路的设计 (11)

3.6整机工作原理 (12) 第4章电路仿真 (12) 第5章数字电路的连接与调试 (14) 结论............................................................................................. 错误!未定义书签。 参考文献 (16) 附录一篮球竞赛24秒计时器总电路原理图......................... 错误!未定义书签。 附录二原器件清单表 (18) 摘要 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识与实践能力相结合的重要环节,是真正锻炼学生能力的环节。为今后进行复杂的综合型电子系统的设计和调试打下基础。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。 本设计主要能完成:电路具有24秒时间显示功能;系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;计数过程中,无论处于何种状态,当按下置数键时,计数重新开始;计时器为递减计时,其计时间隔为1秒;当计时器递减计时到零时,显示器显示00,同时发出CP 同步闪烁报警信号等。整个电路的设计借助于Proteus仿真软件以及数字电路相关理论知识,得到了预期的结果。 关键词:24秒计数报警清零暂停 ABSTRACT

篮球竞赛24s计时器.(DOC)

电子课程设计 ——篮球竞赛24s计时器 学院:电子信息工程学院 专业: 姓名: 学号: 指导教师: 2014年12月

目录 一、设计任务与要求----------------------------3 二、总体框图----------------------------------3 三、选择器件----------------------------------4 四、功能模块---------------------------------10 五、总体设计电路图---------------------------14 六、硬件调试---------------------------------17 七、心得与总结-------------------------------17

篮球竞赛24s 计时器 一 、设计任务与要求 1、设计一个具有显示24S 计时功能的篮球竞赛计时器。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、计时器为24S 递减计时器,其时间间隔为0.01秒。 4、计时器减计时到零时,发出报警信号。 二 、基本原理与电路框图 1. 电路框图 篮球竞赛24秒计时器的电路框图如图2-1所示。 图2-1 电路框图 2. 基本原理 (1) 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、 计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路) 等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒 计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 十位显示 秒脉冲触发器 控制电路 计数器 计数器 报警电路 个位显示

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

篮球比赛24s计时器

数字电子技术课程设计任务书院(系):信息工程系

目录 一、设计任务 (1) 1.1总设计要求 (1) 1.2个人设计任务 (1) 二、系统设计方案论述 (1) 三、各模块设计 (1) 3.1震荡分频电路 (1) 3.2 定时电路 (2) 3.2.1 功能描述 (2) 3.2.2 设计思路及实现 (2) 3.2.3 电路仿真 (3) 3.3 显示电路 (3) 3.3.1 功能描述 (3) 3.3.2 设计思路及实现 (4) 四、系统电路设计 (5) 4.1由各功能模块连成的系统电路图 (5) 4.2系统仿真图 (6) 4.3系统下载测试结果 (6) 五、总结与体会 (7)

一、设计任务 1.1总设计要求 设计一个用于篮球比赛的进攻24s计时器,要求如下: 1 计时器可以从24s递减计时,时间间隔0.1s。 2 计时器具有24s显示功能,显示精度为0.1。 3 计时器具有外部控制直接清零、启动、暂停/连续功能。 4 当按下清零键时,计时器显示00.0.当按下启动键时,计时器从24s开始递减计时工作。在计时器工作时,按下暂停/连续键,计时器停止计时,当再按下暂停/连续键时,计数器将继续倒计时工作。 5 当计时器递减计时到零时,计数器发出声光报警信号,同时显示00.0;当按下清零键时,声光报警解除。 1.2个人设计任务 设计并调试24s定时计数功能模块。构建24进制减法计数器,计数器时钟脉冲使用10hz系统脉冲,计数器置数端接入按键fuwei,实现时间预置和计时启动。同时,计数器设置暂停功能,可由外部信号控制计数暂停与开始。计数值接入显示电路进行实时倒数计数显示,当计数置减为零时,计数器计数停止,并发出警报信号,等待置数清零指令。 二、系统设计方案论述 篮球比赛进攻24s计时器主要由震荡分频电路、定时电路、声光报警电路、译码显示电路和控制电路5大部分组成。震荡分频电路是定时脉冲信号;定时电路包括时钟信号发生器、定时计数器和译码显示器;控制电路包括清零、复位、暂停/连续和限定时间到报警灯。 三、各模块设计 3.1震荡分频电路 时钟信号由试验箱提供。给74161 1Khz时钟信号,给计时器10hz时钟信号。

篮球竞赛24秒计时器设计-

数字电子技术课程设计说明书 篮球竞赛24秒计时器设计 系、部:电气与信息工程学院 学生姓名: 指导教师:职称讲师 专业:电气 班级:电气 完成时间: 2012-6-6

前言

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

目录 第1章电路方案的选择及电路框图 (5) 设计方案 (5) 电路框图 (5) 第2章设计思路及其工作原理的介绍 (6) 设计思路 (6) 基本原理 (6) 第3章单元电路的设计 (8) 24进制计数器的设计 (8) 数码显示电路的设计 (9) 秒脉冲的设计 (11) 控制开关电路的设计 (13) 报警电路的设计 (13) 整机工作原理 (14) 第4章电路仿真 (15) 计时预备阶段 (15) 计时阶段 (16) 暂停\连续功能的实现 (17) 电路报警 (18) 第5章安装及调试步骤 (19) 第6章故障分析与电路改进 (20) 故障的分析和解决 (20) 电路的改进 (20) 结束语 (21) 参考文献 (22)

篮球竞赛24秒计时器数电课程设计

《数字电子技术》课程设计说明书课题名称:篮球竞赛24秒计时器设计 专业:电气工程及其自动化 班级:电气1202班 指导老师:胡新晚 姓名:曾瑞琪

计数器概述 篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥这越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。 本设计只要完成:显示24秒倒计时功能:系统设置外部操作开关,控制计时器的直接清零、启动、暂停、连续功能;在直接清零时,数码管显示器灭灯,计时器为24秒递减计时其计时间间隔为1秒,计时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号等。 设计任务及要求 基本要求 (1)显示24秒计时功能。 (2)设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器,其计时间隔为1秒。 (4递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

(3)进行电路的装接、调试、直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告 主要参考器件 555 晶体定时器 74 LS00 74LS48译码器 74LS192十进制可编程同步加锁计数器

数电课程设计-篮球24s倒计时器学习资料

数电课程设计-篮球24s倒计时器

课程设计报告 课题名称:篮球比赛24秒倒计时电路的设计 (Basketball 24 seconds countdown circuit design)专业: xxxxxxx 班级: xxxxxxx 学号: xxxxxxx 学生姓名: xxxxxxx 指导教师: xxxxxxx x年x月x日

课程设计目的 1、围绕课程设计的内容,培养学生查询相关资料以及文献检索的能力; 2、培养学生对以往所学知识的综合运用能力;在理解透课堂所讲知识的基础上,提高学生 的自学能力; 3、培养学生了解并逐步熟悉科学研究的整个过程,养成良好的科学态度以及实事求是、严谨塌实的工作作风;培养学生独立分析问题和解决问题的科学研究的能力; 课程设计内容 (1)设计要求: ①设计一个篮球比赛24秒计时器,具备显示24秒计时功能; ②计时器为递减工作,时间间隔为1S : ③设置外部开关,控制计时器的启动、暂停及清零; ④递减到零时发出声光报警: ⑵原理方框图图: 包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制

电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。 ⑶实际电路图: ⑷单元电路: ①8421BCD 码加法计数器模块 计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。 图1是74LS192外引脚及时序波形图。图中U CP 、D CP 分别是加计数、减 计数的时钟脉冲输入端(上升沿有效)。LD 是异步并行置数控制端(低电平有效), CO 、BO 分别是进位、借位输出端(低电平有效),CR 是异步清零端,D3-D0是并行数据输入殿,Q3-Q0是输出端。

篮球竞赛24秒计时器设计-

数字电子技术课程设计说明书篮球竞赛24秒计时器设计 系、部:电气与信息工程学院 学生姓名: 指导教师:职称讲师 专业:电气 班级:电气 完成时间:2012-6-6

设计题目篮球比赛24秒倒计时器的设计

课程论文要求 设计制作一个篮球竞赛计时系统,具有进攻方24秒倒计时功能,具体设计要求如下: 1、具有显示 24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 2、分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 3、设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 4、计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即直流振荡器发出声响和发光二极管发光。 前言

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

相关文档
最新文档