数字显示电路设计

数字显示电路设计
数字显示电路设计

物理与电子工程学院

《数字电路》课程设计报告书

设计题目:数字显示电路设计

专业:自动化

班级: 10级1班

学生姓名:李想

学号: 06

指导教师:胡林

年月日

物理与电子工程学院课程设计任务书

专业:自动化班级: 10级2班

摘要

采用动态扫描的方式实现设计要求。动态扫描显示需要由两组信号来控制:一组是字段输出口输出的字形代码,用来控制显示的字形,称为段码;另一组是位输出口输出的控制信号,用来选择第几位数码管工作,称为位码。各位数码管的段线并联,段码的输出对各位数码管来说都是相同的。因此在同一时刻如果各位数码管的位选线都处于选通状态的话,6位数码管将显示相同的字符。若要各位数码管能够显示出与本位相应的字符,就必须采用扫描显示方式,即在某一时刻,只让某一位的位选线处于导通状态,而其它各位的位选线处于关闭状态。同时,段线上输出相应位要显示字符的字型码。这样在同一时刻,只有选通的那一位显示出字符,而其它各位则是熄灭的,如此循环下去,就可以使各位数码管显示出将要显示的字符。

MAX+PLUS II 是一个完全集成化的可编程逻辑环境,能满足用户各种各样的设计需要。它支持Altera公司不同结构的器件,可在多平台上运行。MAX+PLUS II 具有突出的灵活性和高效性,为设计者提供了多种可自由选择的设计方法和工具。

丰富的图形界面,可随时访问的在线帮助文档,使用户能够快速轻松地掌握和使用MAX+PLUSII软件。

MAX+PLUSII 具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个工作日内完成实现设计项目的多次修改,直至最终设计定型。 MAX+PLUS II 开发系统众多突出的特点,使它深受广大用户的青睐。

关键词:数字显示电路;动态扫描;段码

目录

第一章设计任务 0

项目名称:设计数字显示电路 0

项目设计说明 0

设计任务和要求 0

进度安排 0

项目总体功能模块图 (1)

第二章需求分析 (1)

问题基本描述 (1)

系统模块分解 (2)

系统各模块功能的基本要求 (2)

第三章设计原理 (3)

设计原理 (3)

MAXPLUSII介绍 (3)

第四章系统功能模块设计 (5)

数码管位选控制模块流程图 (5)

输入输出引脚及其功能说明 (5)

程序代码实现 (5)

数据选择模块 (7)

数据选择模(八选一模块)块流程图 (7)

输入输出引脚及其功能说明 (7)

程序代码实现 (7)

七段译码器模块 (8)

七段译码器模块模块流程图 (8)

输入输出引脚及其功能说明 (8)

程序代码实现 (9)

第五章调试并分析结果 (10)

输入说明 (10)

预计输出 (10)

测试结果记录 (10)

测试结果分析 (10)

第六章结论 (10)

心得体会 (10)

参考文献 (11)

附录 (12)

第一章设计任务

项目名称:设计数字显示电路

本项目的主要内容是设计并实现8位数码管轮流显示8个数字。该电路将所学的数字电路与系统大部分知识和VHDL语言结合。

项目设计说明

设计任务和要求

A、用CPLD设计一个八位数码管显示电路;

B、8位数码管轮流显示8个数字,选择合适的时钟脉冲频率实现8个数码

管同时被点亮的视觉效果。

进度安排

第一周至第二周每周二2课时,共10课时。具体安排为:第一周至第三周 6课时自行设计、第四周实验结果验收、第五周交报告并进行答辩。

项目总体功能模块图

第二章需求分析

问题基本描述

基本系统流程图如下

系统模块分解

动态扫描显示电路的主要组成为:计数器、显示译码器、32选4数据选择器、扫描电路组成。

系统各模块功能的基本要求

1、计数器:CN8模块输入信号是时钟脉clk,每遇到一个时钟脉冲clk上升沿时,内部累加器便加一,再把累加器所得结果与2进制数的形式输出。要显示8位数字,所以用3位2进制数作为输出。输出信号为cout[0..2]。

2、八选一数据选择模块:模块输入信号一个是数据选择器的地址码SEL[2..0],另一部分是数据信息A[3..0]~F[3..0].地址码是SEL[2..0]来自时钟脉冲计数器CN6,由地址码SEL[2..0]决定输出哪个输入数据。输出信号是q[3..0];

3、扫描显示译码器:完成对7字段数码管显示的控制。

第三章设计原理

设计原理

采用动态扫描的方式实现设计要求。动态扫描显示需要由两组信号来控制:一组是字段输出口输出的字形代码,用来控制显示的字形,称为段码;另一组是位输出口输出的控制信号,用来选择第几位数码管工作,称为位码。各位数码管的段线并联,段码的输出对各位数码管来说都是相同的。因此在同一时刻如果各位数码管的位选线都处于选通状态的话,6位数码管将显示相同的字符。若要各位数码管能够显示出与本位相应的字符,就必须采用扫描显示方式,即在某一时刻,只让某一位的位选线处于导通状态,而其它各位的位选线处于关闭状态。同时,段线上输出相应位要显示字符的字型码。这样在同一时刻,只有选通的那一位显示出字符,而其它各位则是熄灭的,如此循环下去,就可以使各位数码管显示出将要显示的字符。

虽然这些字符是在不同时刻出现的,而且同一时刻,只有一位显示,其它各位熄灭,但由于数码管具有余辉特性和人眼有视觉暂留现象,只要每位数码管显示间隔足够短,给人眼的视觉印象就会是连续稳定地显示。

总之,多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环一次点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率较大,将看不到闪烁现象。将会看到6个数码管持续稳定点亮的现象。

MAXPLUSII介绍

MAX+PLUS II 是一个完全集成化的可编程逻辑环境,能满足用户各种各样的设计需要。它支持Altera公司不同结构的器件,可在多平台上运行。MAX+PLUS II 具有突出的灵活性和高效性,为设计者提供了多种可自由选择的设计方法和工具。

丰富的图形界面,可随时访问的在线帮助文档,使用户能够快速轻松地掌握

和使用MAX+PLUSII软件。

MAX+PLUSII 具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个工作日内完成实现设计项目的多次修改,直至最终设计定型。 MAX+PLUS II 开发系统众多突出的特点,使它深受广大用户的青睐。

MAX+PLUSII支持 Altera公司的 Classic、ACEX 1K、 MAX 3000、 MAX 5000、 MAX 7000、 MAX 9000、 FLEX 6000和 FLEX 10K等系列的可编程逻辑器件,门数为600~250000门,提供了工业界真正与结构无关的可编程逻辑设计环境。MAX+PLUSII的编辑器还提供了强大的逻辑综合与优化功能以减轻用户的设计负担。

MAX+PLUSII软件的设计输入、处理、校验功能完全集成于可编程逻辑开发工具内,从而可以更快的进行调试,缩短开发周期。

设计者可以从各种设计输入、编辑、校验及器件编程工具中作出选择,形成用户风格的开发环境,必要时还可以在保留原始功能的基础上添加新的功能。由于MAX+PLUSII支持多种器件系列,设计者无须学习新的开发工具即可对新结构的器件进行开发。

MAX+PLUSII软件支持多种HDL的设计输入,包括标准的VHDL、 Verilog HDL 及Altera公司自己开发的硬件描述语言AHDL。

MAX+PLUS II 由设计输入、项目处理、项目检验和器件编程等4部分组成,所有这些部分都集成在一个可视化的操作环境下。

MAX+PLUS II 管理窗口包括项目路径、工作文件标题条、MAX+PLUS II菜单条、快捷工具条和工作区等几个部分。设置好授权码后,启动MAX+PLUS II即进入MAX+PLUS II 管理窗口,如图所示。

MAX+PLUS II 还为用户提供了功能强大的在线帮助功能。通过使用在线帮助,用户可以获得设计中所需的全部信息。

第四章系统功能模块设计

计数(数码管位选控制)模块

数码管位选控制模块流程图

输入输出引脚及其功能说明

CN8模块输入信号是时钟脉冲clk,每遇到一个时钟脉冲clk上升沿时,内部累加器便加一,再把累加器所得结果与2进制数的形式输出。要显示八位数字,所以用3位2进制数作为输出。输出信号为cout[0..2]。总之是通过输入输出信号来对数码管进行位选控制。

程序代码实现

library ieee;

use entity cn8 is

port(clr,start,clk: in bit;

cout: out std_logic_vector(2 downto 0));

end cn8;

architecture a of cn8 is

signal temp:std_logic_vector(2 downto 0);

begin

process(clk,clr)

begin

if clr='0' then

temp<="000";

cout<='0';

elsif (clk'event and clk='1') then if start='0' then

if temp>="111" then

temp<="000";

cout<='1';

else

temp<=temp+1;

cout<='0';

end if;

end if;

end if;

end process;

cout<=temp;

end a;

数据选择模块

数据选择模(八选一模块)块流程图

输入输出引脚及其功能说明

SEL81模块输入信号一个是数据选择器SEL81的地址码SEL[2..0],另一部分是数据信息A[3..0]~H[3..0].地址码是SEL[2..0]来自时钟脉冲计数器CN8,由地址码SEL[2..0]决定输出哪个输入数据。输出信号是q[3..0]。

程序代码实现

Library ieee;

Use sel81 is

Port(sel:in std_logic_vector(2 downto 0);

A,b,c,d,e,f,g,h:in std_logic_vector(3 downto 0);

Q:out std_logic_vector(3 downto 0));

End sel81;

Architecture rtl of sel81 is

begin

Process(a,b,c,d,e,f,g,h,sel)

Variable cout:std_logic_vector(3 downto 0);

Begin

Case(sel)is

When"000"=>cout:=a;

When"001"=>cout:=b;

When"010"=>cout:=c;

When"011"=>cout:=d;

When"100"=>cout:=e;

When"101"=>cout:=f;

When"110"=>cout:=g;

When others =>cout:=h;

End case;

Q<=cout;

End process;

End rtl;

七段译码器模块

七段译码器模块模块流程图

输入输出引脚及其功能说明

DISP模块是七段译码器,将输入的4位二进制数转换为数码显示管对应的数字。例如输入为4进制数0000的时候,使数码显示管显示0,则要七段译码器输出为011111。即g段为0,g段发光二极管不亮,其它发光二极管被点亮,

显示效果为0。DISP模块输入信号D[3..0],输出信号是Q[6..0]。程序代码实现

Library ieee;

Use disp is

port(d:in std_logic_vector(3 downto 0);

q:out std_logic_vector(6 downto 0));

end disp;

Architecture rtl of disp is

Begin

Process(d)

Begin

Case d is

When"0000"=>q<="0111111";

When"0001"=>q<="0000110";

When"0010"=>q<="1011011";

When"0011"=>q<="1001111";

When"0100"=>q<="1100110";

When"0101"=>q<="1101101";

When"0110"=>q<="1111101";

When"0111"=>q<="0100111";

When"1000"=>q<="1111111";

When others=>q<="1101111";

End case;

End process;

End rtl;

第五章调试并分析结果

输入说明

clk 时钟输入

Clr 计数器清零(低电平有效)

Start 打开计数器(低电平有效)

A[3..0]..H[3..0] 输入要显示的数字

预计输出

Cout[2..0] 数码管位选控制

Q[6..0] 数码管段选控制

测试结果记录

同时显示0,1,2,3,4,5,6,7这八个不同的数字图形到八个数码管上。测试结果分析

输入一个时钟,驱动计数器工作。选用模值为8的计数器,依次控制8个数码管的亮灭,使得某一时刻有且仅有一个数码管点亮,同时产生对应的,将点亮的数码管赋值显示为相应的数码予以显示。由于扫描频率较高,8位数码管序列将显示持续稳定的0至7的数码。

第六章结论

心得体会

在课程设计之前,我学过51单片机,对硬件与软件的联系有初步的了解,其次我的题目相对较简单---设计8位数码管动态显示电路,所以上手特别的快。在编写程序之前,我到实验室实地考察了一下试验箱,发现8位数码管实验无法实现,只能实现6位,开关实现数码管数字变换也不现实,单单8位数码管的数

字就需要32个开关控制,试验箱远远达不到这个要求,因此,我就设计全软件来控制并且做得非常成功。最后验收时听老师分析,全软件的程序有很大的缺陷,然后对我的设计又进一步的改进,用开关来进行控制。

总之,通过这次课程设计,我对EDA技术有了更进一步的了解。也知道了如何把vhdl的程序装到实验的硬件中,然后如何的连接实验箱上的管脚。通过在上网查询本次实验相关资料。丰富了对EDA的了解。

参考文献

[1]路勇.电子电路实验及仿真[M].北京:北京交通大学出版社,2010.

[2]孟涛.电工电子EDA实践教程[M].北京:机械工业出版社,2010.

[3]高吉祥.电子技术基础实验与课程设计[M].北京:电子工业出版社,2005

[4]候建军.电子技术基础实验、综合设计实验与课程设计[M].北京:高等教育出版社,2007.

附录

课程设计中的程序如下:

library ieee;

use use entity div2k is

port(clk_in : in std_logic;

最新LED显示屏驱动电路设计

L E D显示屏驱动电路 设计

摘要 LED显示屏具有使用寿命长、响应速度快、可视距离远、规格品种多、数字化程度高、亮度高等特点,在信息显示领域已经得到了非常广泛的应用。它利用发光二极管构成的点阵模块或像素单元,组成大面积显示屏。其显示方法有静态显示和动态扫描显示。动态扫描显示耗用硬件资源少,但软件要不断处理,耗CPU。静态显示虽然软件简单但硬件价格稍贵。LED显示屏主要包括发光二极管构成的阵列、驱动电路、控制系统及传输接口和相应的应用软件。而驱动电路设计的好坏,对LED显示屏的显示效果、制作成本及系统的运行性能起着很重要的作用。 本文介绍了点阵式电子显示屏的硬件电路设计原理与软件设计方案,采用51系列单片机芯片,得到了一个能同时显示8个汉字16×16的LED点阵式电子显示屏。 关键词:LED显示屏动态扫描 AT89S52 74HC595

ABSTRACT The LED display monitor has the long of service life, quacking response speed, the far of it’s visual range , many specification variety, high of the digitized, the brightness higher characteristic. It in the information demonstrated the domain already obtained the extremely widespread application. It lattice module or picture element unit which constitutes using the light emitter, composes the big area display monitor. It’s demonstration method has the static demonstration and the dynamic scanning demonstration. The dynamic scanning demonstration consumes the hardware resources to be few, but the software must process unceasingly, and consumes CPU. Although the static state software for display is simple, the hardware price is slightly expensive. The LED display monitor mainly include the array which the light emitter diode constitutes , actuates the electric circuit ,the control system and the transmission connection and the corresponding application software. But actuates the circuit design the quality, to the LED display monitor demonstration effect, the manufacture cost and the system performance characteristic is playing the very vital role. Key words: LED display monitor Dynamic scanning AT89S52 74HC595

电气原理图设计方法及实例分析

电气原理图设计方法及实例分析 【摘要】本文主要对电气原理图绘制的要求、原则以及设计方法进行了说明,并通过实例对设计方法进行了分析。 【关键词】电气原理图;设计方法;实例 继电-接触器控制系统是由按钮、继电器等低压控制电器组成的控制系统,可以实现对 电力拖动系统的起动、调速等动作的控制和保护,以满足生产工艺对拖动控制的要求。继电-接触器控制系统具有电路简单、维修方便等许多优点,多年来在各种生产机械的电气控制 中获得广泛的应用。由于生产机械的种类繁多,所要求的控制系统也是千变万化、多种多样的。但无论是比较简单的,还是很复杂的控制系统,都是由一些基本环节组合而成。因此本节着重阐明组成这些控制系统的基本规律和典型电路环节。这样,再结合具体的生产工艺要求,就不难掌握控制系统的分析和设计方法。 一、绘制电气原理图的基本要求 电气控制系统是由许多电气元件按照一定要求连接而成,从而实现对某种设备的电气自动控制。为了便于对控制系统进行设计、研究分析、安装调试、使用和维修,需要将电气控制系统中各电气元件及其相互连接关系用国家规定的统一图形符号、文字符号以图的形式表示出来。这种图就是电气控制系统图,其形式主要有电气原理图和电气安装图两种。 安装图是按照电器实际位置和实际接线电路,用给定的符号画出来的,这种电路图便于安装。电气原理图是根据电气设备的工作原理绘制而成,具有结构简单、层次分明、便于研究和分析电路的工作原理等优点。绘制电气原理图应按GB4728-85、GBTl59-87等规定的标 准绘制。如果采用上述标准中未规定的图形符号时,必须加以说明。当标准中给出几种形式时,选择符号应遵循以下原则: ①应尽可能采用优选形式; ②在满足需要的前提下,应尽量采用最简单形式; ③在同一图号的图中使用同一种形式。 根据简单清晰的原则,原理图采用电气元件展开的形式绘制。它包括所有电气元件的导电部件和接线端点,但并不按照电气元件的实际位置来绘制,也不反映电气元件的大小。由于电气原理图具有结构简单、层次分明、适于研究等优点,所以无论在设计部门还是生产现场都得到广泛应用。 控制电路绘制的原则: ①原理图一般分主电路、控制电路、信号电路、照明电路及保护电路等。 ②图中所有电器触头,都按没有通电和外力作用时的开闭状态(常态)画出。 ③无论主电路还是辅助电路,各元件应按动作顺序从上到下、从左到右依次排列。 ④为了突出或区分某些电路、功能等,导线符号、连接线等可采用粗细不同的线条来表示。 ⑤原理图中各电气元件和部件在控制电路中的位置,应根据便于阅读的原则安排。同一电气元件的各个部件可以不画在一起,但必须采用同一文字符号标明。 ⑥原理图中有直接电联系的交叉导线连接点,用实心圆点表示;可拆卸或测试点用空心圆点表示;无直接电联系的交叉点则不画圆点。 ⑦对非电气控制和人工操作的电器,必须在原理图上用相应的图形符号表示其操作方式。 ⑧对于电气控制有关的机、液、气等装置,应用符号绘出简图,以表示其关系。 二、分析设计法及实例设计分析 根据生产工艺要求,利用各种典型的电路环节,直接设计控制电路。这种设计方法比较简单,但要求设计人员必须熟悉大量的控制电路,掌握多种典型电路的设计资料,同时具有丰富的设计经验,在设计过程中往往还要经过多次反复地修改、试验,才能使电路符合设计

电子电路设计软件

电子电路设计软件 我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进行实践。但是有的时候,我们会发现做出来的东西有很多的问题,事先并没有想到,这样一来就浪费了我们的很多时间和物资。而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势。有没有能够不动用电烙铁试验板就能知道结果的方法呢?结论是有,这就是电路设计与仿真技术。 说到电子电路设计与仿真工具这项技术,就不能不提到美国,不能不提到他们的飞机设计为什么有很高的效率。以前我国定型一个中型飞机的设计,从草案到详细设计到风洞试验再到最后出图到实际投产,整个周期大概要10年。而美国是1年。为什么会有这样大的差距呢?因为美国在设计时大部分采用的是虚拟仿真技术,把多年积累的各项风洞实验参数都输入电脑,然后通过电脑编程编写出一个虚拟环境的软件,并且使它能够自动套用相关公式和调用长期积累后输入电脑的相关经验参数。这样一来,只要把飞机的外形计数据放入这个虚拟的风洞软件中进行试验,哪里不合理有问题就改动那里,直至最佳效果,效率自然高了,最后只要再在实际环境中测试几次找找不足就可以定型了,从他们的波音747到F16都是采用的这种方法。空气动力学方面的数据由资深专家提供,软件开发商是IBM,飞行器设计工程师只需利用仿真软件在计算机平台上进行各种仿真调试工作即可。同样,他们其他的很多东西都是采用了这样类似的方法,从大到小,从复杂到简单,甚至包括设计家具和作曲,只是具体软件内容不同。其实,他们发明第一代计算机时就是这个目的(当初是为了高效率设计大炮和相关炮弹以及其他计算量大的设计)。 电子电路设计与仿真工具包括SPICE/PSPICE;multiSIM7;Matlab;SystemView;MMICAD LiveWire、Edison、Tina Pro Bright Spark等。下面简单介绍前三个软件。 ①SPICE(Simulation Program with Integrated Circuit Emphasis):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE的微机版PSPICE(Personal-SPICE)。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。 ②multiSIM(EWB的最新版本)软件:是Interactive Image Technologies Ltd在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent信号发生器、Agilent万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行VHDL仿真和Verilog HDL仿真。 ③MATLAB产品族:它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进行分析和设计。它具有数据采集、报告生成和

大功率LED的驱动电路设计(PT4115应用)

大功率LED 的驱动电路设计(PT4115应用) 摘要:LED (light emitting diode )即发光二极管,是一种用途非常广泛的固体发光光源,一种可以将电能转化为光能的电子器件。由于LED 具有节能、环保、使用寿命非常长,LED 元件的体积非常小,LED 的发出的光线能量集中度很高,LED 的发光指向性非常强,LED 使用低压直流电即可驱动,显色性高(不会对人的眼睛造成伤害)等优点,LED 被广泛应用在背光源、照明、电子设备、显示屏、汽车等五大领域。而且随着LED 研发技术的不断突破,高亮度、超高亮度、大功率的LED 相继问世,特别是白光LED 的发光效率已经超过了常用的白炽灯,正朝着常照明应用的方向发展,大有取代传统的白炽灯甚至节能灯的趋势。 本论文主要介绍采用恒流驱动方式实现驱动电路,并且提出一种基于恒流驱动芯片PT4115的高效率的大功率LED 恒流驱动解决方案。该种驱动电路简单、高效、成本低,适合当今太阳能产品的市场化发展。。 关键词:大功率LED ;驱动电路;恒流驱动芯片PT4115 一、LED 主要性能指标: 1)LED 的颜色:目前LED 的颜色主要有红色,绿色,蓝色,青色,黄色,白色,暖白,琥珀色等其它的颜色; 2)LED 的电流:一般小功率的LED 的正向极限电流多在20mA 。但大功率LED 的功率至少在1W 以上,目前比较常见的有1W 、3W 、5W 、8W 和10W 。1W LED 的额定电流为350mA,3W LED 的750mA 。 3)LED 的正向电压:LED 的正极接电源正极,负极接电源负极。一般1W 的大功率LED 的正向电压为3.5V~3.8V 。 4)LED 的反向电压:所允许加的最大反向电压。超过此值,发光二极管可能被击穿损坏 LED 发光强度:光源在给定方向的单位立体角中发射的光通量定义为光源在该方向的(发)光强(度),单位为坎德拉(cd )。 5)LED 光通量:光源在单位时间内发射出的光量称为光源的发光通量。单位为流明(lm)。如1W 大功率LED 的光通量一般为60~80LM 。 6)LED 光照度:1流明的光通量均匀分布在1平方米表面上所产生的光照度.,单位为勒克斯(lx)。 7)LED 显色性:光源对物体本身颜色呈现的程度称为显色性,也就是颜色逼真的程度。 8)LED 的使用寿命:LED 一般可以使用50,000小时以上。 9)LED 发光角度:二极管发光角度也就是其光线散射角度,主要靠二极管生产时加散射剂来控制。 二、大功率LED 的驱动方式: LED 驱动简单的来讲就是给LED 提供正常工作条件(包括电压,电流等条件)的一种电路,也是LED 能工作必不可少的条件,好的驱动电路还能随时保护LED ,避免LED 被损坏。 LED 驱动通常分为以下三种方式: (1) 镇流电阻驱动:就是简单的的在LED 变LED 的驱动电流.。 LED 的工作电流为: R U U I L -= 所以I 与镇流电阻R 成反比;当电源电压U 时,R 能限制I 的过量增长,使I 不超出LED

直流电机驱动电路设计

直流电机驱动电路设计 一、直流电机驱动电路的设计目标 在直流电机驱动电路的设计中,主要考虑一下几点: 1. 功能:电机是单向还是双向转动?需不需要调速?对于单向的电机驱动,只要用一个大功率三极管或场效应管或继电 器直接带动电机即可,当电机需要双向转动时,可以使用由4个功率元件组成的H桥电路或者使用一个双刀双掷的继电器。 如果不需要调速,只要使用继电器即可;但如果需要调速,可以使用三极管,场效应管等开关元件实现PWM(脉冲宽度调制)调速。 2. 性能:对于PWM调速的电机驱动电路,主要有以下性能指标。 1)输出电流和电压范围,它决定着电路能驱动多大功率的电机。 2)效率,高的效率不仅意味着节省电源,也会减少驱动电路的发热。要提高电路的效率,可以从保证功率器件的开关工作状态和防止共态导通(H桥或推挽电路可能出现的一个问题,即两个功率器件同时导通使电源短路)入手。 3)对控制输入端的影响。功率电路对其输入端应有良好的信号隔离,防止有高电压大电流进入主控电路,这可以用高的输入阻抗或者光电耦合器实现隔离。 4)对电源的影响。共态导通可以引起电源电压的瞬间下降造成高频电源污染;大的电流可能导致地线电位浮动。 5)可靠性。电机驱动电路应该尽可能做到,无论加上何种控制信号,何种无源负载,电路都是安全的。 二、三极管-电阻作栅极驱动

1.输入与电平转换部分: 输入信号线由DATA引入,1脚是地线,其余是信号线。注意1脚对地连接了一个2K欧的电阻。当驱动板与单片机分别供电时,这个电阻可以提供信号电流回流的通路。当驱动板与单片机共用一组电源时,这个电阻可以防止大电流沿着连线流入单片机主板的地线造成干扰。或者说,相当于把驱动板的地线与单片机的地线隔开,实现“一点接地”。 高速运放KF347(也可以用TL084)的作用是比较器,把输入逻辑信号同来自指示灯和一个二极管的2.7V基准电压比较,转换成接近功率电源电压幅度的方波信号。KF347的输入电压范围不能接近负电源电压,否则会出错。因此在运放输入端增加了防止电压范围溢出的二极管。输入端的两个电阻一个用来限流,一个用来在输入悬空时把输入端拉到低电平。 不能用LM339或其他任何开路输出的比较器代替运放,因为开路输出的高电平状态输出阻抗在1千欧以上,压降较大,后面一级的三极管将无法截止。 2.栅极驱动部分: 后面三极管和电阻,稳压管组成的电路进一步放大信号,驱动场效应管的栅极并利用场效应管本身的栅极电容(大约 1000pF)进行延时,防止H桥上下两臂的场效应管同时导通(“共态导通”)造成电源短路。 当运放输出端为低电平(约为1V至2V,不能完全达到零)时,下面的三极管截止,场效应管导通。上面的三极管导通,场效应管截止,输出为高电平。当运放输出端为高电平(约为VCC-(1V至2V),不能完全达到VCC)时,下面的三极管导通,场效

LCD显示屏的器件选择和驱动电路设计说明

LCD显示屏的器件选择和驱动电路设计 如何实现LCD平板显示屏驱动电路的高性能设计是当前手持设备设计工程师面临的重要挑战。本文分析了LCD显示面板的分类和性能特点,介绍了LCD显示屏设计中关键器件L DO和白光LED的选择要点,以及电荷泵LED驱动电路的设计方法。 STN-LCD彩屏模块的部结构如图1所示,它的上部是一块由偏光片、玻璃、液晶组成的LCD屏,其下面是白光LED和背光板,还包括LCD驱动IC和给LCD驱动IC提供一个稳定电源的低压差稳压器(LDO),二到八颗白光LED以及LED驱动的升压稳压IC。 STN-LCD彩屏模块的电路结构如图2所示,外来电源Vcc经LDO降压稳压后,向LCD驱动IC如S6B33BOA提供工作电压,驱动彩色STN-LCD的液晶显示图形和文字;外部电源Vcc经电荷泵升压稳压,向白光LED如NACW215/NSCW335提供恒压、恒流电源,LED的白光经背光板反射,使LCD液晶的65K色彩充分表现出来,LED的亮度直接影响LCD色彩的靓丽程度。

LCD属于平板显示器的一种,按驱动方式可分为静态驱动(Static)、单纯矩阵驱动(Simple Matrix)以及有源矩阵驱动(Active Matrix)三种。其中,单纯矩阵型又可分为扭转式向列型(Twisted Nematic,TN)、超扭转式向列型(Super Twisted Nematic,STN),以及其它无源矩阵驱动液晶显示器。有源矩阵型大致可区分为薄膜式晶体管型(ThinFilmTr ansistor,TFT)及二端子二极管型(Metal/Insulator/Metal,MIM)两种。TN、STN及TFT型液晶显示器因其利用液晶分子扭转原理的不同,在视角、彩色、对比度及动画显示品质上有优劣之分,使其在产品的应用围分类亦有明显差异。以目前液晶显示技术所应用的围以及层次而言,有源矩阵驱动技术是以薄膜式晶体管型为主流,多应用于笔记本电脑及动画、影像处理产品;单纯矩阵驱动技术目前则以扭转向列以及STN为主,STN液晶显示器经由彩色滤光片(colorfilter),可以分别显示红、绿、蓝三原色,再经由三原色比例的调和,可以显示出全彩模式的真彩色。目前彩色STN-LCD的应用多以手机、PDA、数码相机和视屏游戏机消费产品以及文字处理器为主。 器件选择 1. LDO选择。由于手机、PDA、数码相机和视屏游戏机消费产品都是以电池为电源,随着使用时间的增长,电源电压逐渐下降,LCD驱动IC需要一个稳定的工作电压,因此设计电路时通常由一个LDO提供一个稳定的 2.8V或 3.0V电压。LCM将安装在手机的上方,与手机的射频靠得很近,为了防止干扰,必须选用低噪音的LDO,如LP2985、AAT3215。 2. 白光LED。按背光源的设计要求,需要前降电压(VF)和前降电流(IF)小、亮度高(500-1800mcd)的白光LED。以手机LCM为例,目前都使用3-4颗白光LED,随着LED 的亮度增加和手机厂商要求降低成本和功耗,预计到2004年中LCM都会选用2颗高亮度白光LED(1200-2000mcd),PDA和智能手机由于LCD屏较大会按需要使用4-8颗白光LED。NAC W215/NSCW335和EL 99-21/215UCW/TR8是自带反射镜的白光LED,EL系列其亮度分为T、S、R三个等级,T为720-1000mcd,S为500-720mcd,都是在手机LCD背光适用之列。 LED驱动电路设计

电子技术课程设计的基本方法和步骤模板

电子技术课程设计的基本方法和步骤

电子技术课程设计的基本方法和步骤 一、明确电子系统的设计任务 对系统的设计任务进行具体分析, 充分了解系统的性能、指标及要求, 明确系统应完成的任务。 二、总体方案的设计与选择 1、查阅文献, 根据掌握的资料和已有条件, 完成方案原理的构想; 2、提出多种原理方案 3、原理方案的比较、选择与确定 4、将系统任务的分解成若干个单元电路, 并画出整机原理框图, 完成系统的功能设计。 三、单元电路的设计、参数计算与器件选择 1、单元电路设计 每个单元电路设计前都需明确本单元电路的任务, 详细拟订出单元电路的性能指标, 与前后级之间的关系, 分析电路的组成形式。具体设计时, 能够模拟成熟的先进电路, 也能够进行创新和改进, 但都必须保证性能要求。而且, 不但单元电路本身要求设计合理, 各单元电路间也要相互配合, 注意各部分的输入信号、输出信号和控制信号的关系。 2、参数计算 为保证单元电路达到功能指标要求, 就需要用电子技术知识对参数进行计算, 例如放大电路中各电阻值、放大倍数、振荡器中电阻、电容、振荡频率等参数。只有很好地理解电路的工作原理, 正确利用计算公式, 计算的参数才能满足设计要求。 参数计算时, 同一个电路可能有几组数据, 注意选择一组能完成

电路设计功能、在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流、电压、频率和功耗等参数应能满足电路指标的要求。 (2)元器件的极限必须留有足够的裕量, 一般应大于额定值的 1.5倍。 (3)电阻和电容的参数应选计算值附近的标称值。 3、器件选择 ( 1) 阻容元件的选择 电阻和电容种类很多, 正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同, 有些电路对电容的漏电要求很严, 还有些电路对电阻、电容的性能和容量要求很高, 例如滤波电路中常见大容量( 100~3000uF) 铝电解电容, 为滤掉高频一般还需并联小容量( 0.01~0.1uF) 瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件, 并要注意功耗、容量、频率和耐压范围是否满足要求。 ( 2) 分立元件的选择 分立元件包括二极管、晶体三极管、场效应管、光电二极管、晶闸管等。根据其用途分别进行选择。选择的器件类型不同, 注意事项也不同。 ( 3) 集成电路的选择 由于集成电路能够实现很多单元电路甚至整机电路的功能, 因此选用集成电路设计单元电路和总体电路既方便又灵活, 它不但使系统体积缩小, 而且性能可靠, 便于调试及运用, 在设计电路时颇受欢迎。选用的集成电路不但要在功能和特性上实现设计方案, 而且要满足功耗、电压、速度、价格等方面要求。 4、注意单元电路之间的级联设计, 单元电路之间电气性能的 相互匹配问题, 信号的耦合方式

微电子电路课程设计

课程设计报告 微电子电路 带有源负载的共源极放大器与带有源负载的cascode 放大器 集成电路设计 目录 1.课程设计目的···································页码3 2.课程设计题目描述和要求·························页码3 3.设计思路·······································页码4 4.带有源负载的共源极放大器设计过程及结果·········页码5 5.带有源负载的cascode放大器设计过程及结果·······页码7 6.心得体会·······································页码9 7.参考书目·······································页码9

2 1.课程设计目的 深刻理解课本上学到的知识,建立各个章节的知识体系之间的联系。 加强动手能力和运用课本知识理论解决问题的能力。 对于放大器的性能和参数有更深刻的理解和掌握。 2.课程设计题目描述和要求 分析如图这样的带有源负载的共源极放大器与带有源负载的cascode 放大器的开环增益,3dB 频宽,单位增益频率。其中负载电容为3PF ,电源电压为5V ,要求CS 放大器的开环增益大于30dB ,cascode 放大器的开环增益大于60 dB 。对仿真结果进行分析,功耗小于2mW 。 Vdd C

3 Vdd C 3.设计思路:根据题目要求来计算以cs 放大器为例 ⑴功率不超过2mW ,电源为 5v ,得到总电流不能超过400uA 。 ⑵开始分配给ID 的电流为50u 运用了镜像电流源,电流大小之比为2,在长度一定时候的宽度之比也是2,故在右边电路的id 为100u ⑶根据公式 对于n 管来说,预估一个过驱动电压0.4v (大约0.2-0.5v )均可。计算出来n 管宽长比为11.26,取11。因为实验中给定了n 管的阈值电压为0.723v ,所以,可以确定栅源电压为1.1v 左右。 对于p 管来说,预估一个过驱动电压为0.5v (大约0.2-0.5v )均可。经过计算,p 管的宽长比为11.59,取12 。

LED驱动电路的设计与制作

自动化学院 电子基础课程设计任务书 系班学生: 课题名称:LED驱动电路的设计与制作 课题要求:一、1、工作电源:交流220伏 2、LED功率为3W 二、完成原理图、PCB图设计 三、完成安装及调试。 四、写出设计报告。 课题内容: 第一周:查找相关资料;方案设计。 第一周:设计原理图、PCB图。 第二周:完成安装及调试。撰写报告 主要参考资料: [1].王庆主编. Protel99SE & DXP 电路设计教程. 电子工业出版, 2006.6 [2].康华光等. 电子技术基础(模拟部分第五版).高等教育出版社, 1999.6 [3].康华光等. 电子技术基础(数字部分第五版).高等教育出版社, 1999.6 时间:2009年1月5日

自动化学院 电子基础课程设计评分标准 平时表现评分:(20%) 优秀:(90-100) 遵守纪律,尊敬老师,爱护设备,工作量饱满,动手能力强,无缺勤,很好按课题进度进行。 良好:(80-89) 遵守纪律,爱护设备,工作量饱满,动手能力较强,考勤情况良好,较好按课题进度进行。 中等:(70-79) 遵守纪律,爱护设备一般,工作量一般,动手能力一般,偶尔缺勤,基本按课题进度进行。 及格:(60-69) 遵守纪律一般,人为因素损坏设备,工作量一般,动手能力差,偶尔缺勤,能按课题进度进行。 不及格:(59以下) 不遵守纪律,人为因素损坏设备,有技术安全事故,工作量不饱满,动手能力很差,经常迟到,早退,缺勤。 课题完成情况评分:(50%) 优秀:(90-100) 全部完成任务书要求,完成质量优良、结果正确,所完成的设计有一定的独立见解。 良好:(80-89) 全部完成任务书要求,完成情况良好,所完成的设计正确,解决了一些实际问题,结果正确。 中等:(70-79) 基本完成任务书要求,完成质量尚好,所完成的设计基本正确,但存在一些不足。 及格:(60-69) 基本完成任务书要求,完成质量尚好,所完成的设计基本正确,但有小错误。 不及格:(59以下) 未完成任务书要求,所作的设计有严重错误,基本概念不清。 电子基础课程设计报告质量评分(30%) 1、文献资料收集、整理、分析;对课题研究意义的阐述;文字精练、流畅、绘图整洁、符合标准规范、字体工整; 2、基本概念、基本理论及专业知识掌握扎实,运用灵活;设计思路、设计内容、计算方法及结果、计算机运用正确无误; 3、试验数据的获取(软件调试方法及过程)试验过程(调试过程)的正确性; 4、电子基础课程设计的结论,存在的问题,研究结果的创新性;

较大功率直流电机驱动电路的设计方案

1 引言 直流电机具有优良的调速特性,调速平滑、方便、调速围广,过载能力强,可以实现频繁的无级快速启动、制动和反转,能满足生产过程中自动化系统各种不同的特殊运行要求,因此在工业控制领域,直流电机得到了广泛的应用。 许多半导体公司推出了直流电机专用驱动芯片,但这些芯片多数只适合小功率直流电机,对于大功率直流电机的驱动,其集成芯片价格昂贵。基于此,本文详细分析和探讨了较大功率直流电机驱动电路设计中可能出现的各种问题,有针对性设计和实现了一款基于25D60-24A 的直流电机驱动电路。该电路驱动功率大,抗干扰能力强,具有广泛的应用前景。 2 H 桥功率驱动电路的设计 在直流电机中,可以采用GTR 集电极输出型和射极输出性驱动电路实现电机的驱动,但是它们都属于不可逆变速控制,其电流不能反向,无制动能力,也不能反向驱动,电机只能单方向旋转,因此这种驱动电路受到了很大的限制。对于可逆变速控制, H 桥型互补对称式驱动电路使用最为广泛。可逆驱动允许电流反向,可以实现直流电机的四象限运行,有效实现电机的正、反转控制。而电机速度的控制主要有三种,调节电枢电压、减弱励磁磁通、改变电枢回路电阻。三种方法各有优缺点,改变电枢回路电阻只能实现有级调速,减弱磁通虽然能实现平滑调速,但这种方法的调速围不大,一般都是配合变压调速使用。因此在直流调速系统中,都是以变压调速为主,通过PWM(Pulse Width Mo dulation)信号占空比的调节改变电枢电压的大小,从而实现电机的平滑调速。 2.1 H 桥驱动原理 要控制电机的正反转,需要给电机提供正反向电压,这就需要四路开关去控制电机两个输入端的电压。当开关S1 和S4 闭合时,电流从电机左端流向电机的右端,电机沿一个方向旋转;当开关S2 和S3 闭合时,电流从电机右端流向电机左端,电机沿另一个方向旋转, H 桥驱动原理等效电路图如图1 所示。

TFT—LCD显示及驱动电路的设计

摘要 TFT—LCD显示及驱动电路设计由视频解码电路,LCD显示电路,电源控制电路和单片机控制电路四个模块组成。视频源产生模拟视频信号,由TVP5150视频解码把模拟视频信号解码输出数字视频信号,由LCD液晶屏显示。对视频解码和液晶显示器的配置是通过单片机完成的。本设计主要针对高校电视技术实践课程设计,应用于视频解码输出教学,实现信号处理可视模块化教学方案。 关键字 视频解码 LCD显示单片机

毕业论文 目录 第一章概述 (3) 1.1 设计背景 (3) 1.2系统框图 (3) 第二章 TFT--LCD液晶显示技术 (1) 2.1液晶基本性质及显示原理 (1) 2.2 PT035TN01—V6液晶显示屏 (1) 2.2.1 PT035TN01—V6模式选择 (1) 2.2.2 PT035TN01—V6屏的交直流电路设计 (1) 2.2.3 PT035TN01—V6屏的SPI电路设计 (2) 第三章图像解码的电路设计 (4) 3.1视频解码芯片的选取 (4) 3.2 TVP5150视频解码芯片 (5) 3.2.1 TVP5150芯片引脚功能 (5) 3.2.2 TVP5150典型寄存器 (5) 3.3 TVP5150视频解码系统配置 (6) 3.3 TVP5150典型电路 (6) 3.3.1 复位电路 (6) 3.3.2 A/D采样电路 (6) 3.3.3 晶振电路 (6) 3.4 TVP5150的具体配置 (7) 第四章 MCU 控制电路 (9) 4. 1单片机概述 (9) 4.2单片机的总线控制 (9) 4.2.1单片机对液晶屏的控制 (9) 4.2.2单片机对TVP5150的控制 (9) 第五章开关电源设计 (11) 5.1设计要求 (11) 5.1.1 电源芯片的选取 (11) 5.1.2功能分析 (11) 5.2 升压电路 (11) 5.2.1升压原理 (11) 5.2.2 升压电路 (11) 5.2 降压电路 (11) 5.2.1降压原理 (11) 5.2.2降压电路 (11) 第六章软件系统 (12) 6.1软件流程图 (12) 6.1.1 PT035TN01—V6液晶显示屏软件流程图 (12) 6.1.2 TVP5150解码电路程序框图 (13) 6.2 TVP5150 IIC程序见附录一 (13) 第七章系统调试与结果 (14) 7.1 硬件调试 (14) 7.1.1 调试方法 (14) 7.1.2 调试数据 (14) 7.2软件调试 (14) 7.2.1 编译环境 (14) 7.2.2 调试波形 (14) 总结 (15) 谢辞 (16) 参考文献 (17) 附录一 (18)

电子系统设计的基本原则和方法

电子系统设计的基本原则和设计方法 一、电子系统设计的基本原则: 电子电路设计最基本的原则应该使用最经济的资源实现最好的电路功能。具体如下: 1、整体性原则 在设计电子系统时,应当从整体出发,从分析电子电路整体内部各组成元件的关系以及电路整体与外部环境之间的关系入手,去揭示与掌握电子系统整体性质,判断电子系统类型,明确所要设计的电子系统应具有哪些功能、相互信号与控制关系如何、参数指标在那个功能模块实现等,从而确定总体设计方案。 整体原则强调以综合为基础,在综合的控制与指导下,进行分析,并且对分析的结果进行恰当的综合。基本的要点是:(1)电子系统分析必须以综合为目的,以综合为前提。离开了综合的分析是盲目的,不全面的。(2)在以分析为主的过程中往往包含着小的综合。即在对电子系统各部分进行分别考察的过程中,往往也需要又电子局部的综合。(3)综合不许以分析为基础。只有对电子系统的分析了解打到一定程度以后,才能进行综合。没有详尽以分析电子系统作基础,综合就是匆忙的、不坚定的,往往带有某种主管臆测的成分。 2、最优化原则 最优化原则是一个基本达到设计性能指标的电子系统而言的,由于元件自身或相互配合、功能模块的相互配合或耦合还存在一些缺陷,使电子系统对信号的传送、处理等方面不尽完美,需要在约束条件的限制下,从电路中每个待调整的原器件或功能模块入手,进行参数分析,分别计算每个优化指标,并根据有忽而

指标的要求,调整元器件或功能模块的参数,知道目标参数满足最优化目标值的要求,完成这个系统的最优化设计。 3、功能性原则 任何一个复杂的电子系统都可以逐步划分成不同层次的较小的电子子系统。仙子系统设计一般先将大电子系统分为若干个具有相对独立的功能部分,并将其作为独立电子系统更能模块;再全面分析各模块功能类型及功能要求,考虑如何实现这些技术功能,即采用那些电路来完成它;然后选用具体的实际电路,选择出合适的元器件,计算元器件参数并设计个单元电路。 4、可靠性与稳定性原则 电子电路是各种电气设备的心脏,它决定着电气设备的功能和用途,尤其是电气设备性能的可靠性更是由其电子电路的可靠性来决定的。电路形式及元器件选型等设计工作,设计方案在很大程度上也就决定可靠性,在电子电路设计时应遵循如下原则:只要能满足系统的性能和功能指标就尽可能的简化电子电路结构;避免片面追求高性能指标和过多的功能;合理划分软硬件功能,贯彻以软代硬的原则,使软件和硬件相辅相成;尽可能用数字电路代替模拟电路。影响电子电路可靠性的因素很多,在发生的时间和程度上的随机性也很大,在设计时,对易遭受不可靠因素干扰的薄弱环节应主动地采取可靠性保障措施,使电子电路遭受不可靠因素干扰时能保持稳定。抗干扰技术和容错设计是变被动为主动的两个重要手段。 5、性能与价格比原则 在当今竞争激烈的市场中,产品必须具有较短的开发设计周期,以及出色的性能和可靠性。为了占领市场,提高竞争力,所设计的产品应当成本低、性能好、

电子电路设计的一般方法和步骤

电子电路设计的一般方法与步骤 一、总体方案的设计与选择 1.方案原理的构想 (1)提出原理方案 一个复杂的系统需要进行原理方案的构思,也就是用什么原理来实现系统要求。因此,应对课题的任务、要求和条件进行仔细的分析与研究,找出其关键问题是什么,然后根据此关键问题提出实现的原理与方法,并画出其原理框图(即提出原理方案)。提出原理方案关系到设计全局,应广泛收集与查阅有关资料,广开思路,开动脑筋,利用已有的各种理论知识,提出尽可能多的方案,以便作出更合理的选择。所提方案必须对关键部分的可行性进行讨论,一般应通过试验加以确认。 (2)原理方案的比较选择 原理方案提出后,必须对所提出的几种方案进行分析比较。在详细的总体方案尚未完成之前,只能就原理方案的简单与复杂,方案实现的难易程度进行分析比较,并作出初步的选择。如果有两种方案难以敲定,那么可对两种方案都进行后续阶段设计,直到得出两种方案的总体电路图,然后就性能、成本、体积等方面进行分析比较,才能最后确定下来。 2.总体方案的确定 原理方案选定以后,便可着手进行总体方案的确定,原理方案只着眼于方案的原理,不涉及方案的许多细节,因此,原理方案框图中的每个框图也只是原理性的、粗略的,它可能由一个单元电路构成,亦可能由许多单元电路构成。为了把总体方案确定下来,必须把每一个框图进一步分解成若干个小框,每个小框为一个较简单的单元电路。当然,每个框图不宜分得太细,亦不能分得太粗,太细对选择不同的单元电路或器件带来不利,并使单元电路之间的相互连接复杂化;但太粗将使单元电路本身功能过于复杂,不好进行设计或选择。总之,

应从单元电路和单元之间连接的设计与选择出发,恰当地分解框图。 二、单元电路的设计与选择 1.单元电路结构形式的选择与设计 按已确定的总体方案框图,对各功能框分别设计或选择出满足其要求的单元电路。因此,必须根据系统要求,明确功能框对单元电路的技术要求,必要时应详细拟定出单元电路的性能指标,然后进行单元电路结构形式的选择或设计。 满足功能框要求的单元电路可能不止一个,因此必须进行分析比较,择优选择。 2.元器件的选择 (1)元器件选择的一般原则 元器件的品种规格十分繁多,性能、价格和体积各异,而且新品种不断涌现,这就需要我们经常关心元器件信息和新动向,多查阅器件手册和有关的科技资料,尤其要熟悉一些常用的元器件型号、性能和价格,这对单元电路和总体电路设计极为有利。选择什么样的元器件最合适,需要进行分析比较。首先应考虑满足单元电路对元器件性能指标的要求,其次是考虑价格、货源和元器件体积等方面的要求。 (2)集成电路与分立元件电路的选择问题 随着微电子技术的飞速发展,各种集成电路大量涌现,集成电路的应用越来越广泛。今天,一块集成电路常常就是具有一定功能的单元电路,它的性能、体积、成本、安装调试和维修等方面一般都优于由分立元件构成的单元电路。 优先选用集成电路不等于什么场合都一定要用集成电路。在某些特殊情况,如:在高频、宽频带、高电压、大电流等场合,集成电路往往还不能适应,有时仍需采用分立元件。另外,对一些功能十分简单的电路,往往只需一只三极管或一只二极管就能解决问题,就不必选用集成电路。

电子电路设计的基础知识

电子电路设计的基础知识 一、电子电路的设计基本步骤: 1、明确设计任务要求: 充分了解设计任务的具体要求如性能指标、内容及要求,明确设计任务。 2、方案选择: 根据掌握的知识和资料,针对设计提出的任务、要求和条件,设计合理、可靠、经济、可行的设计框架,对其优缺点进行分析,做到心中有数。 3、根据设计框架进行电路单元设计、参数计算和器件选择: 具体设计时可以模仿成熟的电路进行改进和创新,注意信号之间的关系和限制;接着根据电路工作原理和分析方法,进行参数的估计与计算;器件选择时,元器件的工作、电压、频率和功耗等参数应满足电路指标要求,元器件的极限参数必须留有足够的裕量,一般应大于额定值的1.5倍,电阻和电容的参数应选择计算值附近的标称值。 4、电路原理图的绘制: 电路原理图是组装、焊接、调试和检修的依据,绘制电路图时布局必须合理、排列均匀、清晰、便于看图、有利于读图;信号的流向一般从输入端或信号源画起,由左至右或由上至下按信号的流向依次画出务单元电路,反馈通路的信号流向则与此相反;图形符号和标准,并加适当的标注;连线应为直线,并且交叉和折弯应最少,互相连通的交叉处用圆点表示,地线用接地符号表示。 二、电子电路的组装 电路组装通常采用通用印刷电路板焊接和实验箱上插接两种方式,不管哪种方式,都要注意: 1.集成电路:

认清方向,找准第一脚,不要倒插,所有IC的插入方向一般应保持一致,管脚不能弯曲折断; 2.元器件的装插: 去除元件管脚上的氧化层,根据电路图确定器件的位置,并按信号的流向依次将元器件顺序连接; 3.导线的选用与连接: 导线直径应与过孔(或插孔)相当,过大过细均不好;为检查电路方便,要根据不同用途,选择不同颜色的导线,一般习惯是正电源用红线,负电源用蓝线,地线用黑线,信号线用其它颜色的线;连接用的导线要求紧贴板上,焊接或接触良好,连接线不允许跨越IC或其他器件,尽量做到横平竖直,便于查线和更换器件,但高频电路部分的连线应尽量短;电路之间要有公共地。 4.在电路的输入、输出端和其测试端应预留测试空间和接线柱,以方便测量调试; 5.布局合理和组装正确的电路,不仅电路整齐美观,而且能提高电路工作的可靠性,便于检查和排队故障。 三、电子电路调试 实验和调试常用的仪器有:万用表、稳压电源、示波器、信号发生器等。调试的主要步骤。 1.调试前不加电源的检查 对照电路图和实际线路检查连线是否正确,包括错接、少接、多接等;用万用表电阻档检查焊接和接插是否良好;元器件引脚之间有无短路,连接处有无接触不良,二极管、三极管、集成电路和电解电容的极性是否正确;电源供电包括极性、信号源连线是否正确;电源端对地是否存在短路(用万用表测量电阻)。 若电路经过上述检查,确认无误后,可转入静态检测与调试。 2.静态检测与调试 断开信号源,把经过准确测量的电源接入电路,用万用表电压档监测电源电压,观察有无异常现象:如冒烟、异常气味、手摸元器件发烫,电源短路等,如发现异常情况,立即切断电源,排除故障; 如无异常情况,分别测量各关键点直流电压,如静态工作点、数字电路各输入端和输出端的高、低电平值及逻辑关系、放大电路输入、输出端直流电压等是否在

小型LCD背光的LED驱动电路设计

小型LCD背光的LED驱动电路设计 过去几年来,小型彩色LCD 显示屏已经被集成到范围越来越宽广的 产品之中。彩色显示屏曾被视为手机的豪华配置,但如今,即便在入门级手机 中,彩屏已成为一项标配。幸好,手机产业的经济规模性(全球手机年出货量接 近10 亿部)降低了LCD 彩色显示屏的成本,并使它们集成在无论是便携医疗设备、通用娱乐遥控器、数字相框/彩色LCD 显示屏需要白色背光,以便用户在 任何光照环境下都能正常地观看。这个背光子系统包括1 个高亮度白光发光二 极管(LED)阵列、1 个扩散器(diffuser)以扩散光线和1 个背光驱动器将可用电能 稳压为恒定电流以驱动LED.一块1 到1.5 英寸的显示屏可能包含2 到4 个LED,而一块3.5 英寸显示屏则可能轻易地就包含6 到10 个LED.对于LED 而言,其光 输出与电流成正比,而且由于LED 具有非常陡峭的电流-电压(I-V)曲线,流过LED 的电流紧密匹配是非常重要,这样才能确保均衡背光,因为LED 通常分 布在LCD 显示屏的一边。此外,也需要软件控制让用户调节亮度,以及针对 周围光照环境作出补偿。根据流经LED 电流的不同,LED 的色点(color point) 可能会漂移。因此,将LED 电流设定为固定值并对LED 进行脉宽调制以降低 平均光输出就很普遍。要在手持产品设计中集成小型彩色LCD 显示屏并进而 实现成本、性能和电池寿命的恰当平衡,存在着一系列需要考虑的因素。 电池供电产品需要优化的LED 驱动电路架构,这些架构要处理并存的 多项挑战,如空间受限、需要高能效,以及电池电压变化-既可能比LED 的正 向电压高,也可能低。常用的拓扑结构有两种,分别是LED 采用并联配置的 电荷泵架构/恒流源架构和LED 采用串联配置的电感升压型架构。这两种方案 都有需要考虑的折衷因素,如升压架构能够确保所有LED 所流经的电流大小 相同但需要采用电感进行能量转换,而电荷泵架构使用小型电容进行能量转换,

相关文档
最新文档