不带进位的与或运算指令的实现

不带进位的与或运算指令的实现
不带进位的与或运算指令的实现

不带进位的与或运算指令的实现

一,课程设计目的

构造模型机实现不带进位的与或运算,使用软件HKCPT调试并执行一段程序,了解程序的编译、加载过程。通过微单步,单拍调试,理解模型机中的数据流向。

二,课程设计说明

(1)设计原理

在实验系统中,模型机的运行是在微程序的控制下进行的,可实现特定指令的功能。在本实验平台中,模型机从内存中读出、解释、执行机器指令将由微指令和与之相配合的时序来完成,即1条机器指令对应1个微程序。

(2)模型机逻辑框图

根据设计的要求以及试验器材的逻辑组合,构造模型机逻辑框图如下图所示:

(3)设计指令系统

<1>指令类型

试验平台内采用的是8位数据总线和8位总线方式,在设计中主要使用以下指令:

[1]逻辑运算类指令,例如逻辑或运算:

ORL A,#36

[2]数据传送类指令,例如,CPU内部寄存器之间数据传递:

MOV A,R1

[3]存储器操作类指令,存储器写指令。寄存器中的内容写入存储器,例如:

STA ADDR

<2>操作数寻址方式及编码

[1]直接地址寻址

例如,双字节指令:

LDA ADDR (ADDR)->A

STA ADDR (A)->ADDR

第1字节第2字节

I7I6I5I4I3I2I1I0A7A6A5A4A3A2A1A0

操作码操作数地址

[2]寄存器直接寻址

指令字节中含有寄存器选择码,决定选哪个寄存器进行操作。

例如,单字节指令:

MOV A,Ri (Ri)->A

单字节

I7I6I5I4I3I2I1I0

操作码与Ri选择码

例如,双字节指令:

MOV Ri,#data data->Ri

第1字节 第2字节

[3]寄存器间接寻址 例如,但字节指令:

MOV A,@Ri (Ri)->A Ri 选择码

[4]立即数寻址 例如:

MOV A,#DATA DA->A MOV RI,#DATA DATA->RI

第1字节 第2字节

(4) 设计微程序及其实现方法

在本实验平台的硬件设计中,采用24位微指令,若微指令采用全水平不编码纯控制场的格式,那么至多有24个微操作控制信号,可由微代码直接实现。如果采用多组编码译码,那么24位微代码通过二进制译码可实现2的n 次幂个互斥的微操作控制信号。

由于模型机指令系统规模较小,功能也不太复杂,所以采用全水平不编码纯控制场的微指令格式。在模型机中,用指令操作码的高4位作为核心扩展成8位的微程序入口地址MD0~MD7,这种方法称为“按操作码散转”,如下表所示:

按操作码换散

指令操作码

微程序首地址

MD7,MD6

I7

I6

I5

I4

MD1、MD0

MD7~MD0

I 7

I 6

I 5

I 4 I 3

I 2

I 1

I 0

D 7

D 6

D 5

D 4 D 3

D 2

D 1

D 0

I 7

I 6

I 5

I 4 I 3

I 2

I 1

I 0

D 7

D 6

D 5

D 4 D 3

D 2

D 1

D 0

I 7

I 6

I 5

I 4 I 3

I 2

I 1

I 0

0 0 0 0 0 1 003H

0 0 0 0 1 1 007H

0 0 0 1 0 1 00BH

0 0 0 1 1 1 00FH

0 0 1 0 0 1 013H

0 0 1 0 1 1 017H

0 0 1 1 0 1 01BH

0 0 1 1 1 1 01FH

0 1 0 0 0 1 023H

0 1 0 0 1 1 027H

0 1 0 1 0 1 02BH

0 1 0 1 1 1 02FH

0 1 1 0 0 1 033H

0 1 1 0 1 1 037H

0 1 1 1 0 1 03BH

0 1 1 1 1 1 03FH

每条指令由不超过4条的微指令组成,那么可根据下表组成每条微程序的首地址。

微程序首地址形成

MD7 MD6 MD

5 MD

4

MD

3

MD

2

MD

1

M

D0

0 0 I7 I6 I

5

I4 1 1

微指令的运行顺序为下地址确定法,即采用计数增量方法,每条微指令执行过之后微地址自动加1,指向下一条微指令地址。微地址寄存器由2片74LS161组成,在模型机停止状态下,微地址被清零。当试验平台开始运行时,微地址从OOH开始运行。且OOH放置一条取指指令,根据程序开始地址从内存中读出第一条指令。(5)设计模型机运行中的指令安排

本实验模型机已确定了指令系统,微指令采用全水平不编码纯控制场的格式,微程序的入口地址采用微操作码散转换方式,微地址采用计数增量方式,所以可确定模型机中时序单元所产生的每一拍的作用。

本实验中为了让实验者更好地观察实验的各个中间过程各寄存器的值,由监控单元产生了4个脉冲信号。4个脉冲信号组成一个微指令周期,为不同地寄存器提供工作脉冲。

PLS1 微地址寄存器的工作脉冲,用来设置微程序的首地址及微地址加1。

PLS2 PC计数器的工作脉冲,根据微指令的控制实现PC计数器加1和重置PC计数器加1和重置PC计数等功能。

PLS3 把24微指令打入3片微指令锁存器。

PLS4 把当前总线上的数据打入微指令选通的寄存器中。

(6)设计指令执行流程

根据模型机逻辑图和目前硬件条件来设计指令系统中每条指令的执行流程。在每个系统中,一条指令从内存取出到执行完毕,需要若干个机器周期,任何指令中都必须有一个机器周期作为“取指令周期”,称为公操作周期。一条指令共需几个机器周期取决于指令在机器内实现的复杂程度。

对于微程序控制的计算机,在设计指令执行流程时,要保证每条微指令所含的微操作的必要性和合理性,还应知道总线IAB,IDB,OAB,ODB仅是传输信息的通路,没有寄存信息的功能,而且必须保证总线传输信息时信息的唯一性。

取指微指令执行过程如下:

在模型机处于停机状态时,模型机的微地址寄存器被清零,微指令锁存器输出无效。在处于停机状态时,脉冲PLS1对微地址寄存器(74LS161)无效,微地址寄存器保持为零。脉冲PLS2对PC计数器无效,同时PLS2

把HALT=1打入启停单元的运行状态寄存器(74LS74)中,把模型机制为运行状态,使微程序锁存器输出有效。PLS3把微程序存储器OOH单元(OOH单元存放取指微指令)的内容打入微指令锁存器中,并且输出取指微指令。PLS4把从程序存储器读出的数据打入指令寄存器。

在模型机处于运行状态时,脉冲PLS1将微地址寄存器加1,脉冲PLS2将PC计数器加1,PLS3把微程序存储器的微指令打入微指令锁存器并且输出。PLS4把当前总线上的数据打入当前微指令所选通的寄存器。三,源程序及执行结果

(1)源程序清单

MOV RO, #22

MOV R1, #44

MOV A, RO

ORL A, #66

STA 30

MOV A, R1

ANL A, #11

STA 34

HALT

(2)执行结果如下图

以下为或运算时的执行情况:

以下为与运算时的执行情况:

四,分析

通过软件HKCPT的微单步功能可观察各个变量的变化。

累加器、有关寄存器、含每条指令执行的时序分析、存储器的变化如下表所示:

微周期数据流程节拍数据

总线地址

总线

操作寄存器

T0 取指微指令

RAM->BUS->IR1 PLS1:微地址清零

PLS2:置模型机运行

PLS3:取指微指令输出

PLS4:BUS->IR1

无效

无效

6CH

6CH

无效

无效

00H

00H

微地址:00H

PC=00H

锁存微指令

MOV R0,#22

微周期数据流程节拍数据

总线地址

总线

操作寄存器

T0 RAM->寄存器R0 PLS1:置微地址

PLS2:PC+1 6CH

6CH

00H

00H

微地址:1BH

PC=01H

PLS3:微指令输出

PLS4:BUS->寄存器R0 22H

22H

01H

01H

锁存微指令

寄存器R0=40H

T1 取微指令

RAM->BUS->IR1 PLS1:微地址+1

PLS2:PC+1

PLS3:取指微指令输出

PLS4:BUS->IR1

22H

22H

6DH

6DH

01H

01H

02H

02H

微地址:1CH

PC=02H

锁存微指令

IR1=6DH

MOV R1, #44

微周期数据流程节拍数据

总线地址

总线

操作寄存器

T0 RAM->寄存器R1 PLS1:置微地址

PLS2:PC+1

PLS3:微指令输出

PLS4:BUS->寄存器R0 6DH

6DH

44H

44H

02H

02H

03H

03H

微地址:1BH

PC=03H

锁存微指令

寄存器R1=44H

T1 取微指令

RAM->BUS->IR1 PLS1:微地址+1

PLS2:PC+1

PLS3:取指微指令输出

PLS4:BUS->IR1

44H

44H

3CH

3CH

03H

03H

04H

04H

微地址:1CH

PC=04H

锁存微指令

IR1=0FH

MOV A, R0

微周期数据流程节拍数据

总线地址

总线

操作寄存器

T0 A->DR1 PLS1:置微地址

PLS2:PC+1

PLS3:微指令输出

PLS4:BUS->寄存器R0 3CH

3CH

00H

00H

04H

04H

无效

无效

微地址:0FH

PC=05H

锁存微指令

DR1=00H

T1 寄存器R0->锁存

器DR2 PLS1:微地址+1

PLS2:PC不变

PLS3:取指微指令输出

PLS4:BUS->锁存器DR2

00H

00H

22H

22H

无效

无效

无效

无效

微地址:10H

PC=05H

锁存微指令

DR2=22H

T2 ALU->A PLS1:微地址+1 22H 无效微地址:11H

PLS2:PC不变PLS3:微指令输出PLS4:BUS->A 22H

22H

22H

无效

无效

无效

PC=05H

锁存微指令

A=6FH

T3 取微指令

RAM->BUS->IR1 PLS1:微地址+1

PLS2:PC+1

PLS3:取指微指令输出

PLS4:BUS->IR1

22H

22H

CFH

CFH

无效

无效

05H

05H

微地址:12H

PC=05H

锁存微指令

IR1=6DH

ORL A, #66

微周期数据流程节拍数据

总线地址

总线

操作寄存器

T0 A->DR1 PLS1:置微地址

PLS2:PC+1

PLS3:微指令输出

PLS4:BUS->寄存器R0 CFH

CFH

22H

22H

05H

05H

无效

无效

微地址:33H

PC=06H

锁存微指令

DR1=22H

T1 BUS->DR2 PLS1:微地址+1

PLS2:PC不变

PLS3:取指微指令输出

PLS4:BUS->锁存器DR2 22H

22H

66H

66H

无效

无效

无效

无效

微地址:34H

PC=06H

锁存微指令

DR2=66H

T2 ALU->A PLS1:微地址+1

PLS2:PC不变

PLS3:微指令输出

PLS4:BUS->A 66H

66H

66H

66H

无效

无效

无效

无效

微地址:35H

PC=06H

锁存微指令

A=66H

T3 取微指令

RAM->BUS->IR1 PLS1:微地址+1

PLS2:PC+1

PLS3:取指微指令输出

PLS4:BUS->IR1

66H

66H

8FH

8FH

无效

无效

06H

06H

微地址:36H

PC=06H

锁存微指令

IR1=8FH

STA 30

微周期数据流程节拍数据地址操作寄存器

总线总线

T0 RAM→BUS→IR2 PLS1:置微地址

PLS2:PC+1

PLS3:取微指令输出

PLS4: BUS→IR2 8FH

8FH

30H

30H

06H

06H

07H

07H

微地址:23H

PC=07H

锁存微指令

IR2=30H

T1 A→RAM(30H) PLS1:微地址+1

PLS2:PC+1

PLS3:微指令输出

PLS4:BUS→RAM 30H

30H

66H

66H

07H

07H

08H

08H

微地址:24H

PC=08H

锁存微指令

RAM(30)=66H

T2 取微指令

RAM→BUS→IR1 PLS1:微地址+1

PLS2:PC不变

PLS3:取微指令输出

PLS4: BUS→IR1

66H

66H

3DH

3DH

08H

08H

09H

09H

微地址25H

PC=08H

锁存微指令

IR1=0FH

MOV A, R1

微周期数据流程节拍数据

总线地址

总线

操作寄存器

T0 A->DR1 PLS1:置微地址

PLS2:PC+1

PLS3:微指令输出

PLS4:BUS->寄存器R0 3DH

3DH

00H

00H

09H

09H

无效

无效

微地址:0FH

PC=09H

锁存微指令

DR1=00H

T1 寄存器R1->锁存

器DR2 PLS1:微地址+1

PLS2:PC不变

PLS3:取指微指令输出

PLS4:BUS->锁存器DR2

00H

00H

44H

44H

无效

无效

无效

无效

微地址:10H

PC=10H

锁存微指令

DR2=44H

T2 ALU->A PLS1:微地址+1

PLS2:PC不变

PLS3:微指令输出

PLS4:BUS->A 44H

44H

44H

44H

无效

无效

无效

无效

微地址:11H

PC=0AH

锁存微指令

A=44H

T3 取微指令PLS1:微地址+1 44H 无效微地址:12H

RAM->BUS->IR1 PLS2:PC+1

PLS3:取指微指令输出

PLS4:BUS->IR1 44H

DFH

DFH

无效

0AH

0AH

PC=0BH

锁存微指令

IR1=DFH

ANL A, #11

微周期数据流程节拍数据

总线地址

总线

操作寄存器

T0 A→DR1 PLS1:置微地址

PLS2:PC+1

PLS3:取微指令输出

PLS4: A→DR1 DFH

DFH

44H

44H

0AH

0AH

0BH

0BH

微地址:37H

PC=0CH

锁存微指令

DR1=44H

T1 BUS→DR2 PLS1:微地址+1

PLS2:PC不变

PLS3:微指令输出

PLS4: BUS→DR2 44H

44H

11H

11H

无效

无效

无效

无效

微地址:38H

PC=0CH

锁存微指令

DR2=11H

T2 ALU→A PLS1:微地址+1

PLS2:PC不变

PLS3:微指令输出

PLS4: BUS→A 11H

11H

00H

00H

无效

无效

无效

无效

微地址:39H

PC=0CH

锁存微指令

A=00H

T3 取微指令

RAM→BUS→IR1 PLS1:微地址+1

PLS2:PC+1

PLS3:取微指令输出

PLS4: BUS→IR1

00H

00H

8FH

8FH

无效

无效

0CH

OCH

微地址:3AH

PC=0CH

锁存微指令

IR1=8FH

STA 34

微周期数据流程节拍数据

总线地址

总线

操作寄存器

T0 RAM→BUS→IR2 PLS1:置微地址

PLS2:PC+1

PLS3:取微指令输出

PLS4: BUS→IR2 8FH

8FH

34H

34H

0CH

0CH

0DH

0DH

微地址:23H

PC=0DH

锁存微指令

IR2=34H

T1 A→RAM(34H) PLS1:微地址+1

PLS2:PC+1

PLS3:微指令输出

PLS4:BUS→RAM 34H

34H

00H

00H

0DH

0DH

0EH

0EH

微地址:24H

PC=0EH

锁存微指令

RAM(34)=00H

T2 取微指令

RAM→BUS→IR1 PLS1:微地址+1

PLS2:PC不变

PLS3:取微指令输出

PLS4: BUS→IR1

00H

00H

FFH

FFH

0EH

0EH

0FH

0FH

微地址25H

PC=0EH

锁存微指令

IR1=FFH

HALT

微周期数据流程节拍数据

总线地址

总线

操作寄存器

T0 置模型机为停止

状态PLS1置微地址

PLS2:停机

PLS3:取微指令输出

PLS4: BUS→IR1

FFH

FFH

无效

无效

10H

10H

无效

无效

微地址3FH

PC=0FH

五,设计总结

通过这次的课程设计,实现了不带进位的与或运算指令,在此过程中,我收获颇丰.这次课程设计不仅提高了我的动手实践能力,同时也提高了我的独立思考能力.通过本次实验,不仅将自己学的计算机组成原理的理论知识得到巩固,而且将理论知识运用于实践,增强了自己的动手能力.通过自己动手操作与思考,我了解了各个单元的工作原理,了解了每一个微单步过程中,微地址、数据总线、地址总线、操作寄存器和数据流程的变化。通过本次实验,我对计算机组成原理的实验箱的工作原理有了更加透彻的了解.计算机的硬件部分的各个部分的逻辑关系和功能的实现,以及指令的流程,微程序的执行过程,这些重要知识,在本次课程设计中我都有了深入的了解。

3算术运算指令实验

2.3 算术运算指令实验 一、实验目的 ·掌握单字节的加减法指令的使用。 ·掌握单字节的乘除法指令的使用。 ·掌握用Keil调试汇编源程序的方法。 ·掌握用Proteus调试汇编源程序的方法。 二、实验预备知识 算术运算指令对程序状态寄存器PSW中的相关位会产生不同的影响。具体如下:◇执行加法指令时,当和的第3位或第7位有进位时,分别将AC、CY标志位置1;否则为O。如果第6位向第7位有进位而第7位没有向前进位,或者如果第7位向前有进位而第6位没有向第7位进位,OV=1,否则OV-O。该操作也影响标志位P。 ◇执行减法指令时,如果第7位有借位,则CY置1,否则清O。若第3位有借位,则 AC置1;否则清O。两个带符号数相减,还要考查OV标志,若OV为1,表示差数溢出,即破坏了正确结果的符号位。该操作也影响标志位P。 ◇执行乘法指令时,若乘积大子OFFH,则OV置1,否则清o(此时B的内容为0)。 CY总是被清O。该操作也影响标志位p。 ◇执行除法指令时,若除数(B) -OOH.则结果无法确定,OV置l。CY总是被清O。该操作也影响标志位P。 三、实验内容 将算术运算指令分成两类,分别编写两个小程序,以完成数据的加减法、乘除法运算。 1.参考程序i-hn减法运算 (1)将立即数# B5H、#36H、#89H分别传送至内部RAM区40H、R2、A中。 (2)将内部RAM区40H中的内容与A中的内容相加,然后再与R2中的内容相加,结果存放至内部RAM区50H中。 (3)将A中的内容与内部RAM区40H中的内容相减,结果存放至内部RAM区60H中。 2.参考程序2——乘除法运算 (1>将立即数#75H、#31H分别传送至内部RAM区15H、33H中。 (2)将内部RAM区15H单元的内容与33H单元的内容相乘。 (3)将乘积的高8位和低8位分别传送至内部RAM区31H、30H中。 (4)将内部RAM区15H单元的内容除以33H单元的内容。 (5)将商和余数分别传送至内部RAM区41H、40H中。四、实验参考程序

不带进位与或运算指令的实现

课程设计 题目不带进位与或运算指令的实现学院计算机科学与技术学院 专业计算机科学与技术 班级0706 班 姓名孙禹 指导教师唐建雄 2010 年01 月21 日

目录 课程设计任务书 (1) 一设计的目 (2) 二设计原理及设备 (2) 2.1原理一 (2) 2.2原理二 (2) 2.3设计设备 (2) 三模型机的逻辑框图 (3) 四设计指令系统 (4) 4.1指令类型 (4) 4.1.1 算术/逻辑运算类指令 (4) 4.1.2 移位操作类指令 (4) 4.1.3 数据传送指令 (4) 4.1.4 程序跳转指令 (4) 4.1.5存储器操作类指令 (4) 4.2指令系统 (4) 4.2.1实验中用到的指令 (5) 4.2.2指令系统 (5) 4.3指令格式 (6) 4.3.1 MOV指令采用双字节指令 (6) 4.3.2 MOV指令采用单字节指令 (6) 4.3.3 ADD加法运算 (6) 4.3.4 ORL逻辑或采用单字节指令 (6) 4.3.5 ANL逻辑与采用单字节指令 (6) 4.3.6 停机指令(HALT) (6) 4.3.7 STA取数据指令 (6) 五设计微程序及其实现的方法 (6) 5.1微指令格式的设计 (6) 5.2后续微地址的产生方法 (7) 5.3微程序入口地址的形成 (7)

六模型机当中时序的安排 (8) 七设计指令执行流程 (9) 八源程序、指令代码及微程序 (10) 8.1源程序 (10) 8.2 程序指令代码 (11) 8.3微单步运行过程 (11) 8.4微程序指令 (14) 九软件HKCPT的联机方式与脱机方式的实现过程 (14) 9.1进行或运算时的时序分析图 (14) 9.1.1取指指令 (14) 9.1.2执行A→Dbus→DR1 (14) 9.1.3 执行RAM→Dbus→DR2 (14) 9.1.4 执行ALU→A (14) 9.2进行或运算时的时序分析图 (14) 9.2.1取指指令 (14) 9.2.2执行A→Dbus→DR1 (14) 9.2.3 执行RAM→Dbus→DR2 (14) 9.2.4 执行ALU→A (14) 9.3调试窗口流向图 (15) 9.3.1 “与”和“或”运算流向图 (15) 9.3.2 SUB指令流向图 (15) 9.3.3 MOV指令流向图 (16) 9.3.4 ADD指令流向图 (16) 9.4累加器A、寄存器、存储器的数据变化以及数据流程 (17) 十课程设计总结 (18) 十一致谢 (19) 十二参考文献 (19) 本科生课程设计成绩评定表 (20)

实验二算术运算实验

实验二算术运算实验 一、实验目的 1、掌握MASM for Windows 环境下的汇编语言编程环境使用; 2、掌握汇编语言程序设计的基本流程及汇编语言中的二进制、十六进制、十进制、BCD 码的表示形式; 3、掌握汇编语言对多精度十六进制和十进制的编程方法及运算类指令对各状态标志 位的影响及测试方法; 4、掌握无符号数和有符号数运算区别及编程方法; 5、掌握BCD 码调整指令的使用方法 二、软硬件实验环境 1、硬件环境:惠普64 位一体化计算机及局域网; 2、软件环境:windows 8,红蜘蛛管理系统,MASM for Windows。 三、实验基本原理 算术运算实验需要对运行结果进行调试及查看状态字,其相关知识如下。 1) 标志位 在debug调试过程中,标志位用特殊符号表示的,其标志名和状态符号的对照表参照表1所示。 表1标志名和状态符号的对照表参照表 2) 加减法指令 ADD表示加法指令,ADC表示带进位加法指令,SUB表示减法指令,SBB表示带进位减法指令。 3) 乘除法指令 MUL表示无符号数乘法指令,IMUL表示带符号数乘法指令,DIV表示无符号数除法指令,IDIV

表示带符号数除法指令。 4) 符号位扩展指令 CBW表示从字节扩展到字的指令,CWD表示从字扩展到双字的指令。 5) BCD码的调整指令 在进行十进制加减运算时,需要将数据用BCD码表示,还要考虑到是组合BCD码还是非组合BCD码,组合BCD码是用一个字节表示两位十进制数,非组合BCD码是用一个字节表示一位十进制数,对于组合的BCD码加减法运算其结果存放在AL中。 组合的BCD码加法调整指令DAA; 组合的BCD码减法调整指令DAS; 非组合的BCD码加法调整指令AAA; 非组合的BCD码减法调整指令AAS; 乘法的非组合BCD码调整指令AAM; 除法的非组合BCD码调整指令AAD。 8088/8086指令系统提供了实现加、减、乘、除运算指令,可参照表2所示内容。 表2数据类型的数据运算表 四、实验步骤与内容 1) 对于表格中三组无符号数,试编程求这三组数的指定运算结果,并考虑计算结果对标志寄存器中状态标志位的影响: ①实验分析 本实验要求简单,仅对指定三组数进行基本运算。只需使用ADD、SUB、MUL、DIV四个运算命令,并以MOV命令作为数值转移的手段即可。运算结果和状态标志的情况可以通过debug调试中的T命令进行逐步查看。 需要注意的主要有以下几点:

计算机组成原理运算器实验—算术逻辑运算实验

实验报告 、实验名称 运算器实验—算术逻辑运算实验 、实验目的 1、了解运算器的组成原理。 2、掌握运算器的工作原理。 3、掌握简单运算器的数据传送通路。 4、验证运算功能发生器( 74LS181)的组合功能 三、实验设备 TDN-CM++ 计算机组成原理教学实验系统一套,导线若干四、实验原理 实验中所用的运算器数据通路如图1-1 所示。其中两片74LSl81以串行方式构成8 位字长的ALU,ALU 的输出经过一个三态门(74LS245)和数据总线相连。三态门由ALU-R 控制,控制运算器运算的结果能否送往总线,低电平有效。为实现双操作数的运算,ALU 的两个数据输入端分别由二个锁存器DR1、DR2 (由74LS273实现)锁存数据。要将数据总线上的数据锁存到DRl、DR2 中,锁存器的控制端LDDR1 和DDR2必须为高电平,同时由T4 脉冲到来。 数据开关“( INPUT DEVICE")用来给出参与运算的数据,经过三态 (74LS245) 后送入数据总线,三态门由SW—B控制,低电平有效。数据显示灯“( BUS UNIT") 已和数据总线相连,用来显示数据总线上的内容。 图中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4 为脉冲信号外,其它均为电平信号。由于实验电路中的时序信号均已连至“W/R UNIT ”的相应时序信号引出端,因此,在进行实验时,只需将“W /R UNIT"的T4接至“ STATE UNIT ”的微动开关KK2 的输入端,按动微动开关,即可获得实验所需的单脉冲。 ALU 运算所需的电平控制信号S3、S2、S1、S0 、Cn、M、LDDRl、 LDDR2 、ALU-B 、SW-B均由“ SWITCH UNIT ”中的二进制数据开关来模拟,其中Cn、ALU —B、SW 一 B 为低电平有效LDDR1 、LDDR2 为高电平有效。 对单总线数据通路,需要分时共享总线,每一时刻只能由一组数据送往总线。

20以内不进位加法不退位减法口算练习

时间对题姓名 10+3=10-2=15-3=9-2=12+1=7-1=12+5=6+3=1+8=14+4=2+7=7+3=16+1=18-0=1+12=4+5=15+2=6+4=17-2=5-4=4-4=6+3=4+15=11+6=2+8=5+11=10-2=9-6=7+3=3+6=2+4=6+3=3+5=2+7=13-3=8-2=4+1=6-3=10-2=16-5=10+5=16-4=17-2=11+6=7+2=5+5=4+5=12+2=17-4=10+9=15-3=13+2=1+9=2+8=4-2=9-5=8-2=10-1=12+7=16-6=7-1=6-6=3+5=4+14=2+13=3+10=9-1=8+2=0+7=5+2=6+4=18-7=17-1=12+5=5-5=8-5=4+13=16-3=6+12=3+6=7-0=9-8=5+4=17-3=18-6=0+14=10-8=6+1=8-4=4+4=1+8=10-0=2+5=3+5=6-3=8+11=2+7=8-1=19-7=5+12=

时间对题姓名 18-0=1+12=2+7=7+3=16+1=4+5=15+2=6+4=17-2=5-4=4-4=6+3=4+15=11+6=2+8=8-2=4+1=6-3=10-2=16-5=7-0=9-8=0+14=10-8=6+1=5+4=17-3=18-6=12+7=16-6=8-4=4+4=9-5=8-2=10-1=1+8=10-0=2+5=3+5=6-3=5+11=10-2=9-6=7+3=3+6=2+4=6+3=3+5=2+7=13-3=7-1=6-6=3+5=4+14=2+13=3+10=9-1=8+2=0+7=5+2=10+5=16-4=17-2=11+6=7+2=5+5=4+5=12+2=17-4=10+9=15-3=13+2=1+9=2+8=4-2=6+4=18-7=17-1=12+5=5-5=8-5=4+13=16-3=6+12=3+6=8+11=2+7=8-1=19-7=5+12=10+3=10-2=15-3=9-2=12+1=7-1=12+5=6+3=1+8=14+4=

加减法指令的实现

课程设计任务书 学生姓名:专业班级:计算机 指导教师:工作单位:计算机科学与技术学院 题目: 基本模型机的设计——加减法指令的实现 初始条件: 理论:学完“电工电子学”、“数字逻辑”、“汇编语言程序设计”、和“计算机组成原理”课程,掌握计算机组成原理实验平台的使用。 实践:计算机学院科学系实验中心提供计算机、实验的软件、硬件平台,在实验中心硬件平台验证设计结果。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、基本模型机系统分析与设计,利用所学的计算机组成原理课程中的知识和提供的实验平台完成设计任务,从而建立清晰完整的整机概念。 2、根据课程设计题目的要求,编制实验所需的程序,上机测试并分析所设计的程序。 3、课程设计的书写报告应包括: (1)课程设计的题目。 (2)设计的目的及设计原理。 (3)根据设计要求给出模型机的逻辑框图。 (4)设计指令系统,并分析指令格式。 (5)设计微程序及其实现的方法(包括微指令格式的设计,后续微地址的产生方法以及微程序入口地址的形成)。 (6)模型机当中时序的设计安排。 (7)设计指令执行流程。 (8)给出编制的源程序,写出程序的指令代码及微程序。 (9)说明在使用软件HKCPT的联机方式与脱机方式的实现过程(包括编制程序中加减法指令的时序分析,累加器A和有关寄存器、存储器的数据变化以及数 据流程)。 (10)课程设计总结(设计的特点、不足、收获与体会)。 时间安排: 周一:熟悉相关资料。周二:系统分析,设计程序。 周三、四:编程并上实验平台调试周五:撰写课程设计报告。 指导教师签名:年月日

系主任(或责任教师)签名:年月日 实现普通的加、减法指令 一、设计目的与原理 1、实验目的 本实验,通过掌握各个单元模块的工作原理,进一步将其组成完整的系统,构造成1台基本的模型计算机。并通过这台模型计算机,对计算机的基本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制等过程有更深的了解,加深对理论课程的理解。 在本试验中,我们将规划读写内存、寄存器、数值计算等功能,并且编写相应的微程序。具体上机调试各个模块单元以进一步掌握整机的概念。 2、实验原理 在本设计中,数据通路的控制将由微程序控制器来完成。在各个模块实验中,各模块的控制信号都是由实验者手动模拟产生的。而在真正的实验系统中,模型机的运行是在微程序的控制下,实现特定指令的功能。计算机从内存取出一条机器指令到指令执行结束的一个指令周期,全部由微指令和与之相匹配的序列来完成,即1条机器指令对应一个微程序。 二、总体设计 1.模型机逻辑框图 简单的模型计算机由算术逻辑运算单元、微程序单元、堆栈寄存器单元、累加器、启停、时序单元、总线和存储器单元组成。 下图为较典型的实验计算机整体逻辑框图:

实验二 算术运算类操作实验 (基础与设计)

实验二算术运算类操作实验 (基础与设计) 一、实验要求和目的 1、了解汇编语言中的二进制、十六进制、十进制、BCD 码的表示形式; 2、掌握各类运算类指令对各状态标志位的影响及测试方法; 3、熟悉汇编语言二进制多字节加减法基本指令的使用方法; 4、熟悉无符号数和有符号数乘法和除法指令的使用; 5、掌握符号位扩展指令的使用。 6、掌握BCD 码调整指令的使用方法 二、软硬件环境 1、硬件环境:计算机系统 windows; 2、软件环境:装有MASM、DEBUG、LINK、等应用程序。 三、实验涉及的主要知识 本实验主要进行算术运算程序设计和调试,涉及到的知识点包括: 1.加减法处理指令 主要有加法指令ADD,带进位加法ADC,减法指令SUB,带进位减法指令SBB。 2.乘除法指令和符号位扩展指令 主要有无符号数乘法指令MUL,带符号数乘法指令IMUL,无符号数除法指令DIV,带符号数除法指令IDIV,以及符号位从字节扩展到字的指令CBW 和从字扩展到双字的指令CWD。3.BCD 码的调整指令 主要有非压缩的BCD 码加法调整指令DAA,压缩的BCD 码减法调整指令DAS,非压缩的BCD 码加法调整指令AAA,非压缩的BCD 码减法调整指令AAS,乘法的非压缩BCD码调整指令AAM,除法的非压缩BCD 码调整指令AAD。 8088/8086 指令系统提供了实现加、减、乘、除运算的上述基本指令,可对下表所示的数据类型进行数据运算。 四、实验内容与步骤 1、对于两组无符号数,087H 和034H,0C2H 和5FH,试编程求这两组数的和差积商,并考虑计算结果对标志寄存器中状态标志位的影响。 设计流程:

《8位算术逻辑运算实验》

3.2 算术逻辑运算部件 1位全加器 n 位并行加法器 ALU 单元 乘、除 法器 运算器 3.2.1 加法单元 若:+1101,+1111 两数相加,且分别放入A 、B 两个寄存器。 0 0 1 1 0 1 (补码、双符号位表示) +) 0 0 1 1 1 1 0 1 1 1 0 0 第3位:输入量: Ai(1),Bi(1), C i-1(1) 本位进位 C i ∑i C i-1 A i B i 低位进位 本位操作数 输入、输出量之间的关系式: Σi =(A B i C i-1 (1) C i = A i B i A B i )C i-1 (2) 根据上式,得出一位全加器的逻辑电路图: 根据(1)式得: 如果三个输人中1的个数为奇数,则本位和为1,否则为0。 根据(2)式得: 当本位的两个输入A i 、B i 均为1时,不管低位有无进位C i-1传来,都必然产生进位C i ;若C i-1为1,只要A i 、B i 中有一个为1,也必然产生进位。 i-1 i-1 i i i i (a ) (b ) 进位链 选择逻 辑控制 移位器 寄存器组 选择器

3.3.1 2并行加法器与进位逻辑结构 一、串行加法器 1.定义:如果每步只求一位和,将n 位加分成n 步实现,这样的加法器称为串行 加法器。 2.组成: 1 个一位全加器 1个移位寄存器:从低到高串行提供操作数相加; 1个寄存器 1个触发器 3.特点:结构简单,速度极慢。 二、并行加法器 1.定义:如果用n 位全加器一步实现n 位相加,即n 位同步相加,这样的加法器称为并行加法器。 2.组成: n 位加法器 并行加法器 串行进位链 进位链 并行进位链 根据(指C i-1 )输入量提供时间的不同,将进位链分为带串行进位链的并行加法器,带并行进位链的并行加法器。 加法器的运算速度不仅与全加器的运算速度有关,更主要的因素是取决于进位传递速度。 3.进位信号的基本逻辑: C i = A i B i +(A i )C i-1 令Gi= A i B i 进位产生函数 Pi = A i ,进位传递函数 4.串行进位链 (1)定义:各级进位信号直接依赖于低一级的进位信号 (2)关系式: C 1 = G 1 + P 1C 0 C 2 = G 2 + P 2C 1 C 3 = G 3 + P 3C 2 。。。。。。。。。 C n = G n + P n C n-1 (3)结构图:

带进位运算指令的实现

带进位运算指令的实现 1 实验题目 基本模型机的设计--------带进位运算指令的实现 2 实验目的及原理 2.1 实验目的 通过对一个简单计算机的设计,对计算机的基本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 熟悉HKCPT操作平台,并通过使用软件HKCPT,了解程序编译、加载的过程。同时,培养动手能力,独立解决问题的能力。 2.2 实验原理 在各个模块试验中,各模块的控制信号都由试验者手动模拟产生。而在真正的试验系统中,模型机的运行是在微程序的控制下进行的,可以实现特定指令的功能。在本试验平台中,模型机从内存中取出、解释、执行机器指令都将由微指令和与之相配合的时序来完成,即一条机器指令对应一个微程序。 3 模型机的逻辑框图 下图中包括运算器、存储器、微控器、输入设备、输出设备以及寄存器。这些部件的动作控制信号都有微控器根据微指令产生。需要特别说明的是由机器指令构成的程序存放在存储器中,而每条机器指令对应的微程序存储在微控器中的存储器中。

4设计指令系统,并分析指令格式 由于实验平台内采用的是8位数据总线和8位地址总线方式,在设计指令系统时,应考虑有哪几种类型的指令,哪几种寻址方式和编码方式。 4.1指令类型 ①算术/逻辑运算类指令:例如,加法、减法、取反、逻辑运算: ADD A, Ri , SUB A, Ri ②移位操作类指令:例如,带进位或不带进位的移位指令: RRC A, RR A ③数据传输类指令:例如,CPU内部寄存器之间数据传递: MOV A, Ri , MOV Ri,A ④程序跳转指令:跳转指令分为无条件跳转和有条件跳转指令。 JMP addr JZ addr ⑤存储器操作类指令:存储器读/写指令。例如, LDA addr

16位算术逻辑运算实验

16 位算术逻辑运算实验 一、实验内容 1、实验原理 实验中所用16位运算器数据通路如图3-3所示。其中运算器由四片74LS181以并/串形成16位字长的ALU构成。低8位运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,低8位数据总线通过LZD0~LZD7显示灯显示;高8位运算器的输出经过一个三态门74LS245(U33`)到ALUO1`插座,实验时用8芯排线和高8位数据总线BUSD8~D15插座KBUS1或KBUS2相连,高8位数据总线通过LZD8~LZD15显示灯显示;参与运算的四个数据输入端分别由四个锁存器74LS273(U29、U30、U29`、U30、)锁存,实验时四个锁存器的输入并联后用8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据源来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,输入的数据通过LD0~LD7显示。

本实验用到6个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块),⑸高8位运算器模块,⑹高8位(扩展)数据总线显示模块。根据实验原理详细接线如下(接线⑴~⑸同实验一): ⑴ALUBUS连EXJ3; ⑵ALUO1连BUS1; ⑶SJ2连UJ2; ⑷跳线器J23上T4连SD; ⑸LDDR1、LDDR2、ALUB、SWB四个跳线器拨在左边(手动方式); ⑹AR跳线器拨在左边,同时开关AR拨在"1"电平; ⑺ALUBUS`连EXJ2;

(完整)小学数学加减乘除法口算练习题

3.4-2.8= 0.96-0.35= 9.53-1.53= 0.25+0.75= 1.2-0.8= 0.83-0.5= 2.7+0.4= 0.77÷11= 3.56×10= 0.9×7= 30.5÷5= 8×0.04+3= 5.3×0.1= 41.2+21= 5.66÷0.2= 8.4÷0.7= 501-98= 0.1×9.02= 110÷100= 1.01×9= 3.1×10= 125×7+125= 0.65×5= 0.1×7= 32.5÷0.5= 2.58÷0.2= 0.7+7.32= 480+199= 63÷0.7= 4×1.8= 0.3+4.44= 2.01×7= 10÷8= 0.8+7.62= 0.12×8= 4.2÷0.1= 1.6×3= 1.2×0.6= 100÷200= 6.2×0.3= 0.6×0.7= 2.8÷70= 0.9×0.4= 2.1÷7÷0.1= 5×2.1= 2.5×4×0.5= 50×0.04 = 3.4÷0.1= 6.9÷3= 2.5×0.4= 20÷5= 0.8×1.25= 1.26+0.74= 2.34-1.34= 6.3÷9= (1.5+2.5)×0.11= 8.2÷1.9= 1.26+0.74= 9÷5= 1-0.25-0.75= 1.6-0.9= 3.44+0.56= 1.2÷4= 3.1+2.5+0.9= 6.8-2.9= 4.2-0.8= 7.34÷2= 9.7×2+0.3×2= 125×4= 0.6×9= 5.4÷1.8= 38×0.01+0.02= 2.7÷30= 1.01×5= 510÷100= (1.2+0. 6)×0.1=

组成原理课设加减法指令的实现

附件1: 课程设计 题目加减法指令的实现 学院计算机科学与技术 专业计算机科学与技术 班级 姓名 指导教师 2011年 6 月30 日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:计算机科学与技术学院 题目: 基本模型机的设计——加减法指令的实现 初始条件: 理论:学完“电工电子学”、“数字逻辑”、“汇编语言程序设计”、和“计算机组成原理”课程,掌握计算机组成原理实验平台的使用。 实践:计算机学院科学系实验中心提供计算机、实验的软件、硬件平台,在实验中心硬件平台验证设计结果。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体 要求) 1、基本模型机系统分析与设计,利用所学的计算机组成原理课程中的知识和提供的实验平台完成设计任务,从而建立清晰完整的整机概念。 2、根据课程设计题目的要求,编制实验所需的程序,上机测试并分析所设计的程序。 3、课程设计的书写报告应包括: (1)课程设计的题目。 (2)设计的目的及设计原理。 (3)根据设计要求给出模型机的逻辑框图。 (4)设计指令系统,并分析指令格式。 (5)设计微程序及其实现的方法(包括微指令格式的设计,后续微地址的产生方法以及微程序入口地址的形成)。 (6)模型机当中时序的设计安排。 (7)设计指令执行流程。 (8)给出编制的源程序,写出程序的指令代码及微程序。 (9)说明在使用软件HKCPT的联机方式与脱机方式的实现过程(包括编制程序中加减法指令的时序分析,累加器A和有关寄存器、存储器的数据变 化以及数据流程)。 (10)课程设计总结(设计的特点、不足、收获与体会)。 时间安排: 周一:熟悉相关资料。周二:系统分析,设计程序。 周三、四:编程并上实验平台调试周五:撰写课程设计报告。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

《计算机组成原理》实验报告---8位算术逻辑运算实验

. '. 计算机专业类课程 实验报告 课程名称:计算机组成原理 学 院:信息与软件工程学院 专 业:软件工程 学生姓名: 学 号: 指导教师: 日 期: 2012 年 12 月 15 日

电子科技大学 实验报告 一、实验名称:8位算术逻辑运算实验 二、实验学时:2 三、实验内容、目的和实验原理: 实验目的: 1.掌握算术逻辑运算器单元ALU(74LS181)的工作原理。 2.掌握模型机运算器的数据传送通路组成原理。 3.验证74LS181的组合功能。 4.按给定数据,完成实验指导书中的算术/逻辑运算。 实验内容: 使用模型机运算器,置入两个数据DR1=35,DR2=48,改变运算器的功能设定,观察运算器的输出,记录到实验表格中,将实验结果对比分析,得出结论。 实验原理: 1.运算器由两片74LS181以并/串形式构成8位字长的ALU。

. '. 2.运算器的输出经过一个三态门(74LS245)和数据总线相连。 3.运算器的两个数据输入端分别由两个锁存器(74LS273)锁存。 4.锁存器的输入连至数据总线,数据开关(INPUT DEVICE)用来给 出参与运算的数据,并经过一三态门(74LS245)和数据总线相连。 5.数据显示灯(BUS UNIT)已和数据总线相连,用来显示数据总线内 容。 实验器材(设备、元器件):模型机运算器 四、实验步骤: 1. 仔细查看试验箱,按以下步骤连线 1)ALUBUS连EXJ3 2) ALU01连BUS1 3) SJ2连UJ2 4) 跳线器J23上T4连SD 5) LDDR1,LDDR2,ALUB,SWB四个跳线器拨在左边 6) AR跳线器拨在左边,同时开关AR拨在“1”电平 2. 核对线路,核对正确后接通电源 3. 用二进制数据开关KD0-KD7向DR1和DR2寄存器置入8位运算数据。

《不进位加法和不退位减法》教学设计

不进位加法和不退位减法 第1课时不进位加法和不退位减法(一) 教学内容教材第72 例1-3 不进位加法和不退位减法。课堂活动,练习九的1-6题 教学目标1.学会20以内不进位、不退位加、减法;体现算法的多样化。 2.知道加法和减法算式中各部分的名称。 3.通过学习活动训练良好的口头表达能力。 教学重难点1.不进位加法和不退位减法的计算方法。 2.对齐数位相加减的道理 教学具准备视频,计算卡片 教学过程复备 一、复习旧知 1.口算(在练习本上) 3+2 5-2 10+7 10+9 6+4 10-6 4+3 6+2 2.小结:前面学习了10以内的加减法,今天我们要学习更大数的加减法。(板书课题) 二、探索新知 1.教学例1(教学不进位加法) (1)出示例1情景图。先摆出13根小棒,再摆出2根小棒。你能算出一共有多少根小棒吗?列出算式。为什么用加法

算? (2)师生共同摆小棒。13+2= (3)你会算吗?说说你是怎么算的? 学生小组交流。汇报。(根据学生汇报用算式或文字板书算法) 方法一:3根小棒和2根小棒合起来是5根小棒,10加5等于15; 方法二:由13向后数两个数是15. 方法三:把13中的1和2相加得3十,30加3得33。 如果有第3种说法,学生中一定要讲清楚为什么不能这样相加。如果没有这种算法,老师应提问为什么13中的1不能和2相加? (鼓励学生算法的多样化。) (4)介绍并板书加法各部分名称。 加法算式的每一部分也有自己的名字,在这个加法算式中,13和2都叫做加数,15叫做和。 板书各部分名称 回到口算题中,让学生说说加法中各部分的名称。 2.教学例2 (教学不退位减法) (1)出示例2图。先摆出15根小棒,拿走2根,还剩几根?你能列出算式吗?为什么这样用减法算? (2)你会算吗?说说你是怎么算的?

基本模型机的设计——带进位运算指令的实现

学号: 课程设计 题目基本模型机的设计 ——带进位运算指令的实现 学院计算机科学与技术学院 专业计算机科学与技术 班级 姓名 指导教师 2011 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:计算机科学与技术学院 题目: 基本模型机的设计——带进位运算指令的实现 初始条件: 理论:学完“电工电子学”、“数字逻辑”、“汇编语言程序设计”、和“计算机组成原理”课程,掌握计算机组成原理实验平台的使用。 实践:计算机学院科学系实验中心提供计算机、实验的软件、硬件平台,在实验中心硬件平台验证设计结果。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体 要求) 1、基本模型机系统分析与设计,利用所学的计算机组成原理课程中的知识和提供的实验平台完成设计任务,从而建立清晰完整的整机概念。 2、根据课程设计题目的要求,编制实验所需的程序,上机测试并分析所设计的程序。 3、课程设计的书写报告应包括: (1)课程设计的题目。 (2)设计的目的及设计原理。 (3)根据设计要求给出模型机的逻辑框图。 (4)设计指令系统,并分析指令格式。 (5)设计微程序及其实现的方法(包括微指令格式的设计,后续微地址的产生方法以及微程序入口地址的形成)。 (6)模型机当中时序的设计安排。 (7)设计指令执行流程。 (8)给出编制的源程序,写出程序的指令代码及微程序。 (9)说明在使用软件HKCPT的联机方式与脱机方式的实现过程(包括编制程序中带进位运算指令的时序分析,累加器A和有关寄存器、存储器的数据变化以及数据流程)。 (10)课程设计总结(设计的特点、不足、收获与体会)。 时间安排: 周一:熟悉相关资料。周二:系统分析,设计程序。 周三、四:编程并上实验平台调试周五:撰写课程设计报告。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

实验二算术逻辑运算及移位操作

实验二算术逻辑运算及移位操作 一、实验目的 1.熟悉算术逻辑运算指令和移位指令的功能。 2.了解标志寄存器各标志位的意义和指令执行对它的影响。 二、实验预习要求 1.复习8086指令系统中的算术逻辑类指令和移位指令。2.按照题目要求在实验前编写好实验中的程序段。 三、实验任务 1.实验程序段及结果表格如表: 表

2.用BX 寄存器作为地址指针,从BX 所指的内存单元(0010H)开始连续存入(10H 、04H 、30H),接着计算内存单元中的这三个数之和,和放在 单元中,再求出这三个数之积,积放0014单元中。写出完成此功能的程

3 后结果(AX)= (1) 传送15H 到AL 寄存器; (2) 再将AL 的内容乘以2 ; (3) 接着传送15H 到BL 寄存器; (4) 最后把AL 的内容乘以BL 的内容。 4商= (1) 传送数据2058H 到DS:1000H 单元中,数据12H 到DS:1002H 单元中; (2) 把 DS:1000H 单元中的数据传送到AX 寄存器; (3) 把AX 寄存器的内容算术右移二位; (4) 再把AX 寄存器的内容除以DS:1002H 字节单元中的数; (5) 最后把商存入字节单元DS:1003H 中。 5.下面的程序段用来清除数据段中从偏移地址0010H 开始的12元的内容(即将零送到这些存储单元中去)。 (1) 将第4条比较指令语句填写完整(划线处)。 MOV SI ,0010H NEXT: MOV WORD PTR[SI],0 ADD SI ,2 CMP SI ,答案 22H (或者20H ) JNE NEXT HLT (2) 假定要按高地址到低地址的顺序进行清除操作(高地址从0020H 开始),则上述程序段应如何修改 上机验证以上两个程序段并检查存储单元的内容是否按要求进行了改变。 6. 输入并运行表中的程序段,把结果填入表右边的空格中,并分析结果,说明本程序段的功能是什么。

不进位加法和不退位减法

不进位加法和不退位减法 教学内容: 教科书第72~73页的教学内容。 教学目标: 1.理解并掌握20以内不进位加法和不退位减法的计算方法。 2.初步感悟加减法之间的联系,初步了解加减法各部分的名称。 3.通过观察、操作、讨论和交流,经历探索计算方法的过程,感悟同一道加法或减法有不同的计算方法。 4.能与同伴合作进行计算方法的探索,在探索的过程中获得成功体验,从中产生主动学习数学的积极情感。 教学重点、难点: 掌握对齐数位相加减的计算方法。 教具、学具准备: 教师:准备实物投影仪、幻灯片、小棒等。 学生:准备学具盒等。 教学过程 一、创设情境,引入新课 教师:有一天,天气晴朗,兔妈妈带着兔宝宝到森林里采蘑菇,他们在森林里唱呀,跳呀,很快兔妈妈就采了满满一篮子蘑菇,兔妈妈究竟采了多少蘑菇,让我们一起数一数吧,真多呀,兔宝宝只采了两朵蘑菇,非常难过,妈妈安慰他说:“只要能提出数学问题,也是聪明的孩子,兔宝宝一转眼,就提出了好几个数学问题,请猜猜看,他提了哪些问题? 1. 学生思考后,提出了几个数学问题: (1)兔妈妈和兔宝宝一共采了多少朵蘑菇? (2)兔妈妈比兔宝宝多采了多少朵蘑菇? (3)兔宝宝比兔妈妈少采了多少朵蘑菇? 2. 教师对学生提出的数学问题给予充分肯定。同学们提出了这样3个问题,首先来解决第1问:兔妈妈和兔宝宝一共采了多少朵蘑菇?? [点评:通过童话故事引入问题情境,让学生感受到身边到处有数学,同时问题由学生提,充分体现以学生为主体的数学思想。]

3. 教师又提出用一个算式表示“一共采了多少朵蘑菇?”学生口答,教师板书:13+2。师:为什么要用加法算?抽生解释[为学习例1设下铺垫。] 二、探索新知 (一)教学例1 教师:兔宝宝很想吃上蘑菇,兔妈妈让宝宝再努力一下,将“13+2”计算出来,就可以听上蘑菇了。同学们,让我们来帮帮兔宝宝计算13+2吧。 1. 探讨算法。 教师:下面我们一起来看看13+2怎样算?我们先用小棒摆一摆,请同学们先拿出13根小棒摆在桌子上,表示兔妈妈采的蘑菇,再拿出2根小棒摆在桌子上,表示兔宝宝采的蘑菇。(教师在投影仪上摆),合起来是多少根呢?(15根)是怎样想的,这么快就知道了?(学生独立思考后)请在4人小组里,互相说说你的想法。(学生交流,教师巡视)抽生汇报。 学生:把2根和3根合起来是5根(学生边说边做合起来的动作),再加在1捆上就是15根。 教师:请在投影仪上来给大家演示一下,好吗? (学生上台操作演示) 师:为什么2根要加在3根上面,不加在1捆上面? 教师演示摆小棒,边板书3+2=5,实际上就是个位的数对着个位的数加,再算 10+5=15 教师:还有别的想法吗? 学生:我们这组里还有一种方法是数,1捆是10根,从10起接着数剩下的几根:11,12,13,14,15,数完后是15根。 学生:我们组中也有人用数的方法,但是与刚才的不同,我们是从13起接着数2个数:14,15。…… [点评:由于低段的小朋友是以形象思维为主,用小棒做直观教具,让学生很容易观察出2根小棒应该加在3根小棒上,而不是加在1捆小棒上。同时,在学生独立思考的基础上,组织学生讨论交流,展示学生的多种算法,从中发展了学生的个性。]教师:看来小朋友的方法虽各不相同,但都知道如果是用小棒做这种加法,要先把什么加起来?(单根的)再加在什么上?(整捆上) 2. 教师介绍加法各部分名称,并在算式下板书:加数、加数、和。 3. 试一试

课设必备之计算机组成原理课程设计--加减法指令的实现讲解

学号:0121010340301 课程设计 题目模型机的总体设计 学院计算机科学与技术 专业计算机科学与技术 班级计算机1003 姓名王俊哲 指导教师许毅 2011 年12 月30 日

课程设计任务书 学生姓名:王俊哲 _ 专业班级:计算机 指导教师:许毅 __ 工作单位:计算机科学与技术学院 题目: 基本模型机的设计——加减法指令的实现 初始条件: 理论:学完“电工电子学”、“数字逻辑”、和“计算机组成原理”课程,掌握计算机组成原理实验平台的使用。 实践:计算机学院科学系实验中心提供计算机、实验的软件、硬件平台,在实验中心硬件平台验证设计结果。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体 要求) 1、基本模型机系统分析与设计,利用所学的计算机组成原理课程中的知识和提供的实验平台完成设计任务,从而建立清晰完整的整机概念。 2、根据课程设计题目的要求,编制实验所需的程序,上机测试并分析所设计的程序。 3、课程设计的书写报告应包括: (1)课程设计的题目。 (2)设计的目的及设计原理。 (3)根据设计要求给出模型机的逻辑框图。 (4)设计指令系统,并分析指令格式。 (5)设计微程序及其实现的方法(包括微指令格式的设计,后续微地址的产生方法以及微程序入口地址的形成)。 (6)模型机当中时序的设计安排。 (7)设计指令执行流程。 (8)给出编制的源程序,写出程序的指令代码及微程序。 (9)说明在使用软件HKCPT的联机方式与脱机方式的实现过程(包括编制程序中加减法指令的时序分析,累加器A和有关寄存器、存储器的数据变 化以及数据流程)。 (10)课程设计总结(设计的特点、不足、收获与体会)。 时间安排: 周一:熟悉相关资料。周二:系统分析,设计程序。 周三、四:编程并上实验平台调试周五:撰写课程设计报告。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

计算机组成原理实验报告 算术逻辑运算单元实验

西华大学数学与计算机学院实验报告 课程名称:计算机组成原理年级:2011级实验成绩: 指导教师:祝昌宇姓名:蒋俊 实验名称:算术逻辑运算单元实验学号:312011*********实验日期:2013-12-15 一、目的 1. 掌握简单运算器的数据传输方式 2. 掌握74LS181的功能和应用 二、实验原理 (1)ALU单元实验构成 1、结构试验箱上的算术逻辑运算单元上的运算器是由运算器由2片74LS181构成8字长的ALU 单元。 2、2片74LS373作为2个数据锁存器(DR1、DR2),8芯插座ALU-OUT作为数据输入端,可通过短8芯扁平电缆,把数据输入端连接到数据总线上。 3、运算器的数据输出由一片74LS244(输出缓冲器)来控制,8芯插座ALU-OUT作为数据输出端,可通过短8芯扁平电缆把数据输出端连接到数据总线上。 (2)ALU单元的工作原理 数据输入锁存器DR1的EDR1为低电平,并且D1CK有上升沿时,把来自数据总线上的数据打入锁存器DR1。同样,使EDR2为低电平,并且D2CK有上升沿时,把来自数据总线上的数据打入锁存器DR2。 算术逻辑运算单元的核心是由2片74LS181构成,它可以进行2个8位二进制数的算术逻辑运算,74LS181的各种工作方式可通过设置其控制信号来实现(S0、S1、S2、S3、M、CN)。当实验者正确设置了74LS181的各个控制信号,74LS181会运算数据锁存器DR1、DR2内的数据。由于DR1、DR2已经把数据锁存,只要74LS181的控制信号不变,那么74LS181的输出数据也不会发生改变。 输出缓冲器采用74LS244,当控制信号ALU-O为低电平时,74LS244导通,把74LS181的运算结果输出到数据总线;ALU-O为高电平时,74LS244的输出为高阻。

不进位加法和不退位减法

不进位加法和不退位减法 教学内容:教科书第62页例1、例2的教学内容。 教学目标: 1.理解并掌握20以内不进位加法和不退位减法的计算方法。 2.初步感悟加减法之间的联系,初步了解加减法各部分的名称。 3.经历探索计算方法的过程,在探索计算方法的过程中获得成功 体验,从中产生主动学习数学的积极情感。 教学重点:掌握20以内不进位加法和不退位减法的计算方法。 教具、学具准备:教师准备课件、小棒、计数器、口算题卡。学生准备小棒等。 教学过程: 一、教师导学 师:宝贝儿们,你们喜欢上数学课吗?嗯,老师也非常喜欢。因为我们在数学王国里每天都会有新的发现,那今天的数学王国里又有什么有趣儿的事情呢?瞧,一群小蝌蚪来到了数学王国,它们在河里游来游去,可着急了,小蝌蚪在干什么呢? 生:小蝌蚪找妈妈 师:宝贝们真聪明,这都知道哇!可是,每只小蝌蚪身上都有一道数学题,只有答对这些题,才能找到妈妈。你们愿意帮助它们吗?好,小手准备(举手手势),准备到位。 抽生口答:3+2= 5-2= 10+7= 10+9= 10+3= 师:13这个数,3在什么位上?生:3在个位上。

师:个位上的3表示什么?生:表示3个一 师:那1在什么位上?生:1在十位上位。 师:十位上的1表示什么?生:表示1个十。 师:下一题13+2= 预设生:等于15 课件不出示答案,师:遭了,老师课件有点问题,没反应了,看不到正确答案,不知道对不对,怎么办呢? 二、个体自学例1 13+2 1.用小棒摆一摆 师:我们用小棒来摆一摆,看看13+2是不是等于15?请先听要求:A 先摆13根小棒,怎么摆?B再摆几根小棒?C看看合起来是多少根呢?(15根) 请生展示贴小棒在黑板上 追问:老师想知道是把2根小棒加在3根小棒里呢,还是加在一捆里? 生:加在3根小棒里。 追问:为什么要把2根小棒加在3根小棒里呢? 师引生:3根小棒表示——3个一,2根小棒表示——2个一。合起来就是——5个一。再加上一捆小棒,一共就是15。 2.用算珠拨一拨 师:小棒回位!123 除了摆小棒,我们还可以用计数器来算算。看着老师手里的计数器,你能拨一拨算珠,算算13+2吗?

相关文档
最新文档