彩灯电路设计实习报告

彩灯电路设计实习报告
彩灯电路设计实习报告

彩灯电路设计实习报告

彩灯电路设计实习

报告

学院:电信学院

专业:通信工程

姓名:曹志远

学号:111041301

彩灯电路的设计

电信学院 通c 曹志远 111041301

一、基本功能介绍。

实现至少8路彩灯变换功能

(1)彩灯从右到左,然后从左到右逐次产闪烁。

(2)彩灯从右到左点亮,然后从左到右逐次依次熄灭,全亮全灭。

(3)彩灯两边同时亮2个逐次向中间移动再散开。

(4)彩灯两边同时亮2个,4亮4灭。

扩展功能:自行设计其他彩灯变换形式。

二、流程图:

48mhz

时间选择

控制频率选择器的输出脉冲

三、重点原理图。

1.分频器:

74160是十进制同步计数器芯片,74161是四位同步可预置计数器。分频器可用多个74160和74161芯片来实现,具体原理图见下图。

2.时间选择器和频率选择器。

频率选择器用八选一的数据选择器74151芯片来实现。时间选择器可以用二分频的分频器来实现。其中一个频率是另一频率的二倍,把这两个不同频率的脉冲连到频率选择器的控制端上这样就可以自动组成00、01、10、11,四个时间选择条件,使频率选择器自动选择一个脉冲。为了方便可以直接使用已设计好的分频器输出端相差为二倍的两种不同频率的脉冲。具体连接方法见下图。其中wsc4为已设计

好的分频器。

3.花样一:彩灯从右到左,然后从左到右逐次产闪烁,具体原理图如下所示。

图中74161构成一个从0000开始计数的十六进制的计数器。74191是可以双向移动的移位寄存器,当计数器的输出端为0000、0001、0010、0011、0100、0101、0110、0111时,图中的74191芯片的S1=0,

S0=1,这时移位寄存器向右移动,八路彩灯从左向右逐次闪烁。当计数器的输出端为1000、1001、1010、1011、1100、1101、1110、1111时,芯片74191的S1=1,S0=0,这时移位寄存器向左移动,八路彩灯从右向左闪烁。RCO为进位输出,当74161的输出端为1111时,RCO输出1其他情况输出0.RCO输出为1时,通过一个反向门74161芯片的置数端就有一个有效的低电平使计数器重新置数,计数器重新开始计数。这样就实现了彩灯从右到左,然后从左到右逐次闪烁,循环往复。

4.花样二:彩灯从右到左点亮,然后从左到右逐次依次熄灭,全亮全灭。具体原理图如下图:

花样二和花样一的原理基本是一样的,只是芯片的连接的方法不一样,故实现的功能也不一样。花样二用了一个74161构成一个十六

进制计数器,当计数器的输出端输出0000、0001、0010、0011、0100、0101、0110、0111时,移位寄存器的S1=0,S0=1,向右移动,八路彩灯从左到右逐次熄灭。当计数器的输出端输出1000、1001、1010、1011、1100、1101、1110、1111时,移位寄存器的S1=1,S0=0,向左移动,八路彩灯从右到左依次点亮。当计时器输出端为1111时,RCO进位输出端输出1,通过一个反向门给计数器的置数端LDN一个有效的低电平使计数器重新计数。这样就实现了八路彩灯从右到左点亮,然后从左到右逐次依次熄灭,全亮全灭,循环往复。

5.花样三:彩灯两边同时亮2个逐次向中间移动再散开。具体原理图见下图:

图中用到了一个74161芯片、四个三八译码器74138芯片、2个二与

门、2个三与门、2个四与门。74161芯片构成一个从0000开始计数的五进制计数器,计数器的三个输出端QA QB QC,分别与四个三八线译码器的三个输入端A B C相连。当计数器的输出端为0000时,灯1、2、7、8亮,灯3、4、5、6灭(图中灯从上往下依次为1、2、3、4、5、6、7、8)。当计数器的输出端为0001时,灯2、3、6、7亮,灯1、4、5、8灭。当计数器输出端为0010时,灯3、4、5、6亮,灯1、2、7、8灭。当计数器输出端为0011时,灯2、3、6、7亮,灯1、4、5、8灭。当计数器输出端为0101时,灯1、2、7、8亮,灯3、4、5、6灭。此时计数器被清零,重新开始计数。这样就实现了八路彩灯两边同时亮2个逐次向中间移动再散开,循环往复。

6.花样四:彩灯两边同时亮2个,4亮4灭。具体原理图见下图:

图中74161芯片构成一个从0000开始计数的二进制计数器,计数器的输出端QA QB QC依次与三八线译码器74138芯片的三个输入端A B C 相连。灯1、2、7、8相连,同亮灭,灯3、4、5、6相连,同亮灭。当计数器的输出端为0000时,灯1、2、7、8亮,灯3、4、5、6灭。当计数器输出端为0001时,灯3、4、5、6亮,灯1、2、7、8灭。此时计数器被清零,重新开始计数。这样就实现了八路彩灯两边同时亮2个,4亮4灭,循环往复。

7.花样五(扩展功能):八路彩灯从左至右渐亮,全亮后再分两半从左至右渐灭,循环两次;然后从中间到两边对称地逐次点亮,全亮后仍从中间到两边逐次渐灭,循环2次;然后从左至右顺次渐亮。全亮

后逆序渐灭,循环2次。具体原理图如下图所示:

要实现三种花型完全显示一遍需要的总拍数为64,即1-16为第一个花型,17-32显示第二个花型,33-64显示第三个花型。图中用了2

片74194芯片、一个74151芯片、一个7474芯片、2个74161芯片、4个非门、4个与非门。芯片74151和7474级联构成一个节拍控制电路,实现脉冲频率的变换,即产生快慢节拍。2片74161级联构成模128的计数器来控制花型的变换。

8.总原理图:

图中花样控制器是由1个三八线74138译码器和5个74151八选一的数据选择器组成的。由分频器和时间选择器选择出来的脉冲依次与5

个数据选择器的输入端D0,D1,D2,D3相连,而5个数据选择器的输出端有分别与花样1、花样2、花样3、花样4、花样5(扩展功能)的信号输入端相连。5个74151数据选择器的信号控制端A与A,B与B,C与C都相连,然后再把74151的A B C依次与74138三八译码器的输出端Y0 Y1 Y2相连。这样通过控制74138三八译码器的输入端A B C就可以选择呈现哪种花样了。当74138输入端为000时,脉冲信号与第一个74151(按从上往下的顺序)芯片D0端相连的信号被选通,触发花样1芯片工作,八路彩灯按照花样1闪烁。同理,当74138的输入端为001时,八路彩灯按照花样2工作。当74138的输入端为010时,八路彩灯按花样3工作。当74138的输入端为011时,八路彩灯按花样4工作。当74138的输入端为100时,八路彩灯按花样5,工作。值得一提的是花样1、2、3、4、5打包后的芯片与八路彩灯的连接方式,这里用到了40个2与门,5个五与门。具体连接方式可见下图:

图中是花样1(d2)、2(d1)打包后芯片与2与门和五与门的连接方式,花样3、4、5的连接方法与1、2是一样的,,输出端都与其相连

的的74151芯片的GN端相与后再接到一个五与门上。这样做的目的是为了保证当其他花样不选通时,他们的输出端都是“1”,这样再与选通端的信号分别相与,选通端的信号就不会受影响,八路彩灯就会按选通花样变化。

三、在设计过程中遇到的问题及解决办法:

1.刚开始设计的是分频器,当我连接好电路编译时,软件出现了报错,经过仔细查找发现有个线连重了,修改之后又编译发现无错误,下载到芯片,观察灯的闪烁情况符合设计要求。

2.在设计花样一时,没有注意芯片上的灯是低电平亮,高电平灭。设计好的的原理图编译下载到芯片上测试时,发现八路彩灯变化形式跟预期的正好相反。发现问题后,在原先的原理图上,每个与彩灯相连的输出端都接上一个反向门,这样高电平就变成了低电平,然后重新编译测试,观察八路彩灯的变化情况,符合设计要求,问题得到解决。

3.在设计花样四时,设计好电路图,编译成功后,下载到芯片,但八路彩灯未按照预期的设计变化,中间的四盏灯亮过后没有灭,出现了八盏灯同时亮,然后中间的四盏灯才灭,经过分析,发现原理图中用7490芯片设计的三进制计数器出现了问题,后来换用了74161芯片改成模三计数器连接到电路中,然后编译下载到芯片,观察八路彩灯的变化,符合预期要求。

4.在设计花样三时,一开始毫无头绪,一直想用2片74194的双向移位寄存器来实现,但是想了很久没思路,后来联想到花样四的设计原

理,就采用了用74161计数器和74138译码器实现,最后编译下载到芯片,观察八路彩灯的变化情况,符合设计要求。

5.当四个基本功能和一个扩展功能的设计都完成后,接下来在功能集成的时候遇到了麻烦。开始设计的时候用74138译码器来实现芯片的选通,进而控制彩灯的花型,由于没有意识到74138输出端输出的只可能是高电平或者低电平,没有上升沿和下降沿的触发来使计数器工作,后来就添加了74151数据选择器来选通芯片。因为数据选择器输出的是一个脉冲信号,有上升沿和下降沿,这样就可以触发计数器工作了。

6.当把各个功能的集成电路连接好后,编译下载到芯片,观察八路彩灯的变化情况,发现未能符合设计要求。后来发现在设计电路的过程中默认未选通的花样芯片输出端是高电平,经过测试发现未选通花样芯片的输出端并不是高电平,而是有高电平也有低电平。这样通过与门就会使选通端的输出信号受到影响,不能正常呈现其花色。为了避免选通花样芯片的输出信号不受影响,把每个花样芯片的输出端与其输入端相连的74151数据选择器的GN端通过一个二与门相与,这样就保证未选通的花样芯片的所有输出端输出的是一个高电平。然后未选通芯片输出的高电平在与选通花样芯片的输出端相与就不会影响选通花样芯片的输出信号。把重新改好的原理图编译下载到芯片,观察八路彩灯的变化,符合设计要求。

7.在实验过程中,把从自己电脑上用quartus9.0编的原理图,直接拷到实验室的电脑上发现编译有错,实验室电脑装的是quartus5.0,所

以用quartus9.0编的原理图在5.0上编译会有错。

四、实习心得与体会。

通过短暂的将近2周的实习,我觉得自己学到了很多东西,而且很大程度上也锻炼了自己的动手能力。通过本次实习,自己熟练地掌握了quartus ii这个软件,知道了怎样用这个软件去设计原理图。也熟悉了八选一数据选择器74151,计数器74161,三八线译码器74138,双向移位寄存器74194等很多芯片的使用。同时也熟悉了根据功能设计电路的流程,提高了自己分析问题,解决问题的能力。实习过程中也深深的感受到了纸上得来终觉浅,绝知此事要躬行这个道理,意识到自己还存在不足,要在以后的学习过程中不断地弥补自己的不足,完善自己。在本次实习中,也得到了亲爱同学的帮助,增加了我们之间的友谊。同时也非常感谢敬爱的老师给自己的帮助与指点,帮助自己检查出了问题,使自己能够顺利的解决问题,完成本实验。

单片机流水彩灯课程设计

课程名称:单片机课程设计 设计题目:流水灯彩灯设计 学院:应用技术学院 专业:电子信息工程信息方向

目录 一、实训的目的 (3) 二、实训的基本要求 (3) 三、电路基本工作原理 (4) 四、组装过程及技巧 (5) 五、软件设计及程序清单 (5) 六、心得体会 (11) 一、实训的目的 通过具有一定功能和应用价值的一个具体产品的设计与制作,或

者一个实际项目的开发与应用,使学生受到工程设计、制造工艺、调试检测和撰写技术报告的系统训练,启迪我们的创新思维,培养我们分析问题和解决问题的综合能力。通过实训使我们巩固、加深和学习光电子技术的基础理论、基本知识和技能技能。使我们正确地选择和使用常用电工仪表、电子仪器及有关实验设计。使我们掌握基本电量及电子元件的测试技术、实验方法和数据的分析处理。使我们能应用已学的理论知识设计简单的应用电路,合理选择元器件构成实用的电子小系统。使我们受到基本的实验技能、系统的工程实践和撰写技术报告的初步训练。培养我们严肃认真、实事求是、独立思考、踏实细致的科学作风和创新的精神。 二、实训的基本要求 要求: 1. 该流水灯设置12个发光二极管,两个按键K1和K2。 2. 上电后,12个LED灯全亮 3. 两个按键一个用于流水灯的启动和停止,另一个用于选择流水灯的花样。 4.“流水”的花样不得少于两种,越多越好。 三、电路图及其基本工作原理 该电路由2个30PF的电容和一个晶震组成的,其中晶振接在18和19引脚。这个作用是为单片机提供一个正常的工作时钟频率

单片机40引脚接+5V的电源,20引脚接地。使用510Ω电阻和发光二极管组成12条支路,分别对应连接单片机的P1口12个。才用共阳极接法40段接上5V的外加电压,通过单片机的P1口控制输出高电平还是低电,当电压为低电平的时候,二极管发光。 电路图如下: 四、组装过程及焊接技巧 (1)材料:松香、焊锡。焊接时最常用的焊料是焊锡。松香焊剂是一种可靠的焊剂,它在电路维修和电子制作中应用广泛。将焊接的

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

彩灯控制器的设计实验报告

专业班级 院系物理与电子信息学院 姓名学号同组人 实验室组号日期 成绩 课程单片机原理与应用指导老师肖鹏程 试验项目编号 试验项目名称多功能彩灯控制器的设计一、实验目的 1.熟悉Keil uVision2软件的安装和应用; 2.熟悉Proteus7.8软件的安装和应用; 3.掌握《单片机多功能彩灯控制器的设计》的编程、仿真和调试方法。 二、实验环境 1.微机一台; 2.Proteus7.8电路设计和仿真软件; 3.Keil uVision2编译和调试软件; 三、实验原理 图1是单片机多功能彩灯控制器的电路原理图,P2接8只LED,限流电阻为220Ω,时钟电路接在单片机的DIP18、DIP19,复位电路接在单片机的DIP9, DIP31接Vcc。 要求用C语言编写程序,使该电路的功能为,上电后8只LED的显示状态为:【○○○○○○○●】→【○○○○○○●○】→【○○○○○●○○】→【○○○○●○○○】→【○○○●○○○○】→【○○●○○○○○】→【○●○○○○○○】→【●○○○○○○○】→【○○○○○○○○】, 时间间隔为300mS,循环往复。

图1单片机输出控制电路原理图 多功能彩灯控制器的参考程序如下: #include unsigned char code table001[]={128,64,32,16,8,4,2,1,0}; void delay300ms(); main() { unsigned char m; while(1)

{ for(m=0;m<=8;m++) { P2=~table001[m]; delay300ms(); } } } void delay300ms() { unsigned char i,j,k; for(i=3;i>0;i--) for(j=200;j>0;j--) for(k=248;k>0;k--); } 四、实验步骤 1.安装Keil uVision2; 2.安装Proteus 7.8; 3.在Proteus ISIS环境下设计一个用单片机的I/O口(P2)作为Output控制8只LED的电路; 4.在Keil uVision2环境下,用C语言编写多功能彩灯控制器的程序,编译生成hex文件。当出现错误时,使用Debug调试和修改程序,直到生成hex文件。5.将生成的hex文件加载到单片机,运行仿真,观察现象,记录结果; 五、实验记录与处理 1. 多功能彩灯控制器的实验结果(仿真)如下: 时间LED工作状态时间LED工作状态 0 0

《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告 ——彩灯循环控制电路设计 摘要 本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V 均为5V。运用了所学的555定时器、译码器、计数器与逻辑门 cc 电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在

实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

8个彩灯控制电路设计

课程设计(论文) 题目名称8个彩灯控制电路设计 课程名称单片机原理及接口技术 学生姓名何辉 学号0941201058 系、专业电气工程系测控类 指导教师杨波 2011年6 月25 日

邵阳学院课程设计(论文)任务书 年级专 业 09级电气工程系学生姓名何辉学号0941201058 题目名称8个彩灯控制电路设计计时间2011年6月7日—2011 年7月3日 课程名称单片机原理及在 电气测控学科中 的应用 课程编号121200105 设计地点 数字控制与PLC实验 室\创新实验室 (214)(305) 一、课程设计(论文)目的 通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。 二、已知技术参数和条件 1、系统电路的构成用AT89S51单片机和中规模集成芯片,及电子元件。 2、WA VE 软件或KEIL 软件编译 三、任务和要求 任务: 用AT89S51单片机设计设计一个8个彩灯控制电路。 要求: 1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2 号→3号→...→7号→8 号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺 序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。 2、用proteus仿真 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

8路LED彩灯控制电路设计报告

《8路LED彩灯控制》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师: 2011年 1 月8 日

目录 一、课程设计目的 (3) 二、课程设计题目描述和要求 (3) 三、课程设计报告内容 (3) 3.1 器件选择 (3) 3.2 实验电路图 (3) 3.3 原理分析 (4) 3.3.1 脉冲源产生模块 (4) 3.3.2 定时器模块 (4) 3.3.3 寄存器模块 (4) 3.4 具体思路和设计过程 (4) 3.4.1 设计思路 (4) 3.4.2 具体设计过程 (5) 四、焊接与调试 (6) 五、总结体会 (6)

一、课程设计目的 随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。 二、课程设计题目描述和要求 本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。 三.课程设计报告内容 3.1 器件选择 555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门 74ls20六个、导线若干。 3.2 实验电路图

彩灯流水电路的设计

深圳大学实验报告实验课程名称:数字电路与逻辑设计 实验项目名称:彩灯流水电路的设计 学院:信息工程专业: 报告人: 学号:班级: 同组人: 指导教师: 实验时间: 实验报告提交时间:

一、设计题目 设计一电路以驱动八只灯,并使其七亮一暗,且这一暗灯按一定节拍循环右移。 二、实验仪器与材料 (1)RXS-1B数字逻辑电路实验箱; (2)74LS90(十进制计数器)、74LS161(4位二进制同步计数器(异步清除))、74LS138(3线-8线译码器)、74LS04(六反向器)、74LS20(双四输入与非门)。 三、设计方法提示 该电路可分别用如下几个方案实现: (1)用一片十进制计数器(74LS90)接成八进制计数器和3线-8线译码器(74LS138)实现。 (2)用一片四位二进制计数器(74LS161)和3线-8线译码器(74LS138)实现。 四、实验方法 自拟。 五、实验报告要求 画出实验电路,回答思考题。 六、思考题 (1)如果本设计题改为八只灯七暗一亮,且这一亮灯按一定节拍循环右移,那电路该如何改动。 (2)现代城市有各种复杂的、变化异彩的彩灯图案(有左移的、有右移的、有方的、有圆的、有上移的、有下移的),你能构思出现实中这些彩灯图案的电路框图吗?

用一片十进制计数器(74LS90)接成八进制计数器和3线-8线译码器(74LS138)实现

用一片四位二进制计数器(74LS161)和3线-8线译码器(74LS138)实现

思考题 (1)如果本设计题改为八只灯七暗一亮,且这一亮灯按一定节拍循环右移,那电路该如何改动。 答:附加两片74LS04芯片,将74LS138芯片的所有输出信号对应输入74LS04芯片的输入端,再从74LS04芯片的输出端对应输出到彩灯上。 (2)现代城市有各种复杂的、变化异彩的彩灯图案(有左移的、有右移的、有方的、有圆的、有上移的、有下移的),你能构思出现实中这些彩灯图案的电路框图吗? 答:能。只需合理地改变彩灯摆放位置即可得到相应的图案。

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

多功能流水灯设计

黄河科技学院毕业设计说明书第1页 1 绪论 1.1 课题背景及目的 今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,数字逻辑电路的发展也日趋迅速,通常流水灯的设计会选择单片机编程,虽然单片机具有体积小、功能强、成本低、应用面广等优点,但是,选择单片机更大的增加了设计费用,并且对设计者的编程语言要求高,而在数字电路中,中规模集成电路以其功能强大、种类繁多,得到广泛应用。很多中规模集成电路都具有通用性,它的应用已不仅仅局限于其本身所具有的功能。如本文所设计的流水灯电路,就是利用中规模集成电路的功能扩展,将移位寄存器构成移存型计数器,将其输出端接到多个LED指示上。利用数字电路来控制灯的状态,并显示设计结果。其主要的电路原理:整个流水灯电路由时钟产生,流水程序控制驱动及功率控制元件电源供给电路等电路组成。 1.2 课题研究方法 常见的流水灯控制系统中,是使用微机控制,设备复杂,成本较高;另外应用单片机控制,虽然简单,但系统智能化及传输可靠性低,且对语言的编程能力要求较高,均不理想。为了提高系统可靠性、实用性,从而研究了一种基于模拟电子技术和数字电子技术的循环控制系统。这种设计不仅仅应用到流水灯的控制,也在工业生产中提高自动化循环控制得到利用。为了发光二极管形成流水效果,将电源加在555定时器中,定时发送脉冲,通过CD4017循环计数,由CD4066控制开关,使发光二极管逐个接受高电平,循环亮起,设计中,选用四种颜色的发光二极管,从而形成更好的流水效果。 1.3 基本要求设计方案 (1)设计一个彩灯控制电路,使其能够产生一个控制信号控制彩灯实现灯光变换的功能。 (2)该彩灯控制电路,在完成基本变化的基础上,可以实现彩灯的流向性,间歇性变化的要求,从而使彩灯更加丰富化。

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

流水彩灯的设计.

目录 1.技术要求 (1) 2.设计方案及其比较 (1) 2.1方案设计要求 (1) 2.2基本原理 (1) 2.2.1原理概括 (1) 2.2.2主要元器件简介 (1) 2.3方案一 (5) 2.3.1方案原理 (5) 2.3.2软件仿真 (6) 2.4方案二 (7) 2.4.1 方案原理 (7) 2.4.2 软件仿真 (8) 2.5方案三 (8) 2.5.1 方案原理 (8) 2.5.2 软件仿真 (10) 2.6方案比较 (11) 2.6.1方案元件比较 (11) 2.6.2方案功能比较 (11) 2.6.3方案可实现性比较 (11) 3.实现方案 (12) 3.1方案元件 (12) 3.2方案原理 (12) 3.2.1谐振模块 (13) 3.2.2计数模块 (14) 3.2.3译码模块 (14) 3.2.4发光电路 (15) 3.3实现过程 (15) 3.4小结 (16) 4.调试过程及结论 (16) 4.1调试过程 (16) 4.2结论 (16) 5.心得体会 (16) 6.参考文献 (17)

圈式流水灯电路的设计 1.技术要求 设计一种利用发光二极管作为流水灯指示,实现连接成圆圈式的发光二极管依次循环点亮形成移动的光点,要求可以实现流水灯的循环时间可以调节。 2.设计方案及其比较 2.1方案设计要求 (1)按照技术要求,提出自己的设计方案(多种)并进行比较; (2)掌握计数、译码、控制及显示电路的工作原理及其电路结构,以NE555时基集成电路、74LS161和74LS138为主,设计一种圈式流水灯电路(实现方案); 2.2基本原理 2.2.1原理概括 圈式流水灯电路主要包括两部分电路,第一部分电路产生矩形脉冲波,该部分电路可由555定时器构成多谐振荡器产生。第二部分电路实现选通发光二级管的功能,通过计数器和译码器实现圈式排列的灯循环闪亮,该部分电路的实现可采取多种方案。 由于555定时器产生矩形脉冲的周期取决于外接电阻和电容,所以流水灯发光时间的调节可以通过改变第一部分多谐振荡电路外接电阻阻值或电容大小实现,也可以通过改变第二部分电路的频率即进制来实现。 2.2.2主要元器件简介 (1)NE555定时器

循环彩灯数电课程设计

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名: 学号: 专业班级: 指导教师: 2011年5月25日

课程设计任务书

循环彩灯 摘要:本次循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。 关键词:循环彩灯555定时器74LS19274LS138

目录 1.设计背景 (4) 1.1了解数字电路系统的定义及组成 (4) 1.2掌握时钟电路的作用及基本构成 (4) 2.设计方案 (4) 2.1总体设计路 (4) 2.2电路框图 (4) 3.方案实施 (5) 3.1 555定时电路产生始终脉冲 (5) 3.2 74LS38与74LS92功能 (6) 3.3 电路仿真 (8) 3.4 PCB板制作 (9) 4.结果与结论 (10) 4.1电路调试 (10) 4.2 理论值与实际值 (11) 5.收获与致谢 (11) 6.参考文献 (13) 7.附件 (13)

附录1 (13) 附录 2 (13) 1. 设计背景 1.1了解数字电路系统的定义及组成 数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。 1.2掌握时钟电路的作用及基本构成 时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的 555 多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。 2 设计方案 2.1 总体设计思路 循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。

数电实训彩灯控制电路设计

桂林电子科技大学信息科技学院《数字逻辑电路》实训报告 学号 1051100425 姓名 指导教师:邹老师覃老师 2010 年 07 月 13 日

多路彩灯控制电路 1.整机设计 1.1 设计要求 (1)功能要求:八个彩灯用8个放光二极管代替; (2)设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能; (3)彩灯亮点移动时间间隔取1秒; (4)彩灯的布图形状随意; (5)让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.1 设计任务 通过查找资料设计彩灯的原理图﹑PCB图使其能实现全亮﹑全灭﹑左移﹑右移等功能,让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.2 性能指标要求 彩灯亮点的时间间隔为1秒,占空比为50% 1.2 整机实现的基本原理及框图 1.2.1 基本原理 通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路其主要原理框图如下: 1.2.2 总体框图 总体框图 2.各功能电路实现原理及电路设计 (1)彩灯演示电路 2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电

阻(保护发光二极管)和八个发光二极管。其电路图如下 彩灯演示电路图 (2)彩灯控制电路 移位寄存器是一个具有移位功能的寄存器。寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的叫双向移位寄存器。根据移位寄存器存取信息的方式不同分:串行串出,串入并出,并入串出,并入并出4种形式。 本电路由2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电阻(保护发光二极管)和八个发光二极管和一片74LS04(控制彩灯循环亮的作用)和拨码开关控制输入的高低电平。其图如下: 彩灯控制电路图

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

节日彩灯控制电路设计报告

设计报告书

多花型双节拍彩灯 摘要:彩灯控制器是用来使彩灯按照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,应用前景较为广泛。彩灯控制器实现方法多种多样,本次设计的这台彩灯控制器主要功能有:(1)可以控制8路彩灯或彩灯串;(2)既可以向左(逆时针)移动,也可以向右(顺时针)移动,还可以左右交替移动;(3)彩灯起始状态可以预置;(4)移动速度和左右交替速度可调节;(5)控制电路与负载(可以直接使用交流220V市电的彩灯)完全隔离。 关键词:彩灯控制器、速度可调、市电彩灯

目录 一、作品设计要求 (3) 1.设计要求 (3) 2.设计步骤 (3) 3.报告要求 (3) 二、作品设计原理分析 (3) 1.系统设计框图 (3) 2.分模块电路原理分析 (4) 三、作品调试及仿真 (8) 1.作品调试 (8) 2.作品仿真 (8) 四、作品设计制作总结 (15) 1.设计总结 (15) 2.创新改进意见 (16) 五、参考文献 (17) 附录1:系统电路总图 (18)

多花型双节拍彩灯 一、作品设计要求 1.设计要求: (1)设计一个八路彩灯,要以0.25秒和0.5秒两种节拍运行。 (2)三种不同的花型,自行设计。 2.设计步骤: (1)要有设计框图、采用层次的设计,分模块设计。 (2)原理图法和文本法均可。 (3)仿真(功能仿真和时序仿真) 3.报告要求: (1)将完成的设计原理图和分层的设计文件总结出来。 (2)说明实验结果,从.RPT中抄写资源使用情况。 (3)打印出传真泼型。说明设计结果。 (4)总结经验教训。提出建设性的意见。 二、作品设计原理分析 1.系统设计框图 经过多种设计方案的比较和论证,最终选择了以双向移位寄存器74LS194芯片为核心的设计方法。系统设计框图如下: 图1 系统设计框图

循环彩灯电路设计报告

《数字电子技术基础》 课程设计报告 设计题目:彩灯循环控制器的设计 专业: 班级: 姓名: 学号: 指导教师: 设计日期: 2014 年 6 月

课程设计评审意见 (1)设计阶段(30分)——硬件电路运行情况 优()、良()、中()、一般()、差(); (2)报告(60分)——对于课程设计报告撰写的整体评价 优()、良()、中()、一般()、差(); (3)平时表现(10分)——课程设计过程中的表现 优()、良()、中()、一般()、差(); 总评分数: 优()能很好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行全面、系统的总结,并能运用学过的数字电子技术理论知识对某些问题加以分析。态度端正,课程设计期间无违纪行为。 良()能较好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行比较全面、系统的总结。考核时能较圆满地回答老师提出的问题,态度端正,课程设计期间无违纪行为。 中()能够独立完成课程设计的任务,制作的电路板达到规定的主要要求,课程设计报告能对设计内容进行比较全面的总结,在考核时能正确地回答主要问题,态度端正,课程设计时无违纪行为。 一般()课程设计过程中态度基本端正,能够完成课程设计的任务,提交电路板,能够完成报告,内容基本正确;但不够完整、系统,考核中能回答主要问题。 差()课程设计过程中表现不佳,未能完成课程设计要求的内容。 评阅人: 2014年6月25日注: 优(90-100分)、良(80-89分)、中(70-79分)、一般(60-69分)、差(60分以下)

目录 引言.................................................................. ....... 第一部分:设计方案设计........................................................ 1.1方案选 择:............................................................ 1.2功能设计及分 析....................................................... 1.2.1 时钟信号功能设 计............................................... 1.2.2 花型控制功能设 计............................................... 1.2.3 花型演示功能设计 (3) 1.3 原理图总图及说 明 (4) 第二部分:硬件调试总 结 (5) 2.1 元器件清单及说 明 (5) 2.2 硬件调 试 (9) 第三部分:总 结 (10) 3.1 设计小 结 (10) 3.2 心得体 会 (11) 参考文

广告彩灯控制电路设计课设

目录 引言 (2) 1设计意义及要求 (3) 1.1设计意义 (3) 1.2设计要求 (3) 2方案设计 (4) 2.1设计思路 (4) 2.2方案设计 (4) 2.2.1方案一及其电路图 (4) 2.2.2方案二及其电路图 (5) 2.3方案比较 (6) 3部分电路的设计 (7) 3.1脉冲源的设计电路 (7) 3.2 4017控制电路 (9) 3.3 同右同左控制电路 (11) 3.4 回馈控制电路 (15) 4调试与检测 (16) 4.1调试中故障及解决办法 (16) 4.2调试与运行结果 (16) 5 仿真操作步骤及使用说明 (17) 6元件名细表 (18) 7附录电路图 (19) 8结束语 (20) 本科生课程设计成绩评定表

引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度发展。 在现实生活中,我们经常遇到花样多变的广告彩灯,所谓广告彩灯就是讲一系列有颜色的灯串联在一起,然后按一定次序逐个或者几个的依次点亮和熄灭。因此要设计出这种电路就要应用数字逻辑电路,本次试验依照要求要设计出三行彩灯依次点亮,三列彩灯从右向左移动从左向右移动,所以该课程设计将用到脉冲信号产生电路、4017控制电路、计数电路、译码电路及其他门电路。

广告彩灯控制电路设计 1 设计意义及要求 1.1 设计意义 (1)培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事的科学态度和勇于探索的创新精神。 (2)锻炼学生的自学软件的能力及分析问题、解决问题的能力。 (3)通过课程设计,使学生在理论计算,课程设计、查阅设计资料、标准和规运用和计算机应用能力得到训练和提高。 (4)固化、深化和扩展学生的理论知识与专业技能。 (5)为今后从事电子领域打下坚实的基础。 1.2设计要求 1.现有9只彩灯,试设计一控制电路,要求彩灯能实现如下追逐图案; 2.第一层3只红灯右移,每灯亮的时间为0.8秒; 3.第二层3只蓝灯右移,每灯亮的时间为0.8秒; 4.第三层3只黄灯右移,每灯亮的时间为0.8秒; 5.三色彩灯同时右移,每组灯亮的时间为1.6秒; 6.三色彩灯同时左移,每组灯亮的时间为1.6秒; 7.彩灯控制电路工作状态按照上述2至6步自动重复循环。

简易彩灯控制电路课程设计报告

目录摘要1 1 设计要求1 1.1设计课题1 1.2主要容1 1.3技术要求1 2 系统组成及工作原理2 2.1系统组成框图2 2.2工作原理分析3 3 电路方案设计3 3.1电路图设计3 4 单元电路设计4 4.1时钟脉冲产生电路4 4.2分频电路的工作原理6 4.3状态机电路7 4.4移位输出电路8 4.5桥式整流电路9 4.6发光二级管9 结束语10 致11 参考文献12

附录13 附录1:元器件清单13 附录2:输出状态编码13 附录3:元件引脚图14 附录4:元件功能表15 附录5:总设计图17

简易彩灯控制器电路 摘要 彩灯控制器是用来使彩灯按照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,它是一种很好的照明娱乐工具,应用前景较为广泛。本设计就是采用电子元件制作的一个简易的具有四种变换花型的彩灯控制器。 本电路系统由四部分组成,分别是: (1)时钟振荡电路,555定时器构成多谐振荡器; (2)分频电路,由四位二进制计数器74LS161组成,为D 触发器提供时钟; (3)状态机电路,由双D 触发器组成; (4)移位显示电路,由双向移位寄存器74194 和发光二极管组成,实现花型显示。 彩灯控制器实现方法多种多样,本次设计的这台彩灯控制器主要功能有:(1)可以控制8个以上的彩灯;(2)可以组成四种以上花型,并且每种花型能够连续循环两次,各种花型轮流显示。 本电路基于74系列简单逻辑门电路的组合,实现简易电子彩灯控制器电路,具有电路设计简单,成本低廉的特点。 关键词:时钟脉冲;分频;双D触发器;移位寄存器;计数器

1 设计要求 1.1设计课题 题目:简易彩灯控制器电路 1.2主要容 1.2.1 阅读相关文献。 1.2.2 学习电子制图软件的使用。 1.2.3 学会整理和总结设计文档报告。 1.2.4 学会如何查找器件手册及相关参数。 1.3 技术要求 1.3.1要求电路能够控制8个以上的彩灯。 1.3.2要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。

彩灯循环电路设计

: 目录 摘要 (Ⅰ) 1 理论知识准备 (1) 2 方案论证 (2) 备选方案 (2) 方案选择 (5) 3 电路设计 (7) 选择器件 (7) @ 555定时器 (7) 74LS194移位寄存器 (9) 功能模块 (10) 时钟脉冲产生电路 (10) 彩灯维持电路 (12) 显示电路 (14) 4 电路调试 (15) 总体电路仿真 (15) ~ 电路布线 (16) 电路调试结果 (17) 心得体会 (18) 参考文献 (19)

】 1 理论知识准备 本次做的彩灯循环控制其实也可以看成是不是用单片机而实现的流水灯电路,流水灯是一串按一定的规律像流水一样连续闪亮。流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。 循环彩灯控制可用多种方法实现,但对现代可编程控制器而言,利用移位寄存器实现最为便利。通常用左移寄存器实现灯的单方向移动;用双向移位寄存器实现灯的双向移动。控制程序中,关键在于数据移位方向的控制。单方向控制的流水灯,使用左移寄存器可容易地实现。如果流水灯的点亮顺序是双向的,则使用双向移位寄存器进行控制。 由于本次设计只是设计了单向的彩灯循环电路,所以彩灯控制电路由三个模块构成,显示电路﹑秒脉冲电路和维持电路。秒脉冲电路全程为电路提供矩形波信号使彩灯定时发亮;显示电路为维持电路提供电源:维持电路在显示电路部分提供电源的情况下为电路提供一段较长的高电平,使彩灯在全部变亮后保持一段时间。同时结合显示电路部分所带元件(主要是74LS194)的性质,使彩灯从右到左依次由暗变亮,亮后维持一段时间,然后熄灭,并且不断重复。 / 由于本次设计并不是很复杂,所以本设计只采用数字集成电路的555定时器和移位寄存器,产生相应的控制信号,从而控制彩灯的闪烁。数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,就出现了八路自动循环切换的流水彩灯。 该设计可以用做广告牌边框灯光设计,如果用条形光柱代替彩灯,还可以作为广告牌的背景闪烁灯光使用,增强广告的感染力。

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件:Multisim仿真软件,555定时器芯片,CD4518二-十进制同步计数器,74LS139二线-四线译码器,CD4072双四输入或门,74LS48七段数码管译码器,74LS74双D触发器, 各芯片数据手册等。 要求完成的主要任务: 1、以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、 2、 3、 4、 5、 6、 7、 8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列…….,如此周而复始,不断循环。 2、打开电源时,控制器可自动清零。 3、每个数字的一次显示时间相等,该时间在0.5s到2s范围内连续可调。 时间安排: 1、2013年5月16日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013年5月17日至2013年6月30日,方案选择和电路设计。 3、2013年7月1日至2013年7月4日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

摘要 随着时代的发展,各式各样绚丽的霓虹彩灯出现在许多的场合,LED彩灯由于其丰富的灯光和色彩,低廉的成本及控制简单等特点而得到了广泛的运用。 本设计利用多种中小规模集成电路构成彩灯控制电路,主要分为时钟产生电路、循环控制电路、数列产生电路、显示电路四个部分。实现了自然数列、奇数数列、偶数数列、音乐符号数列地循环显示功能。时钟产生电路利用555定时器和D触发器构成周期为0.5s~2s连续可调的方波信号;循环控制电路利用CD4518计数器和74HC139译码器构成具有上电自动清零、可自动循环功能的电路;数列产生电路利用CD4518计数器的不同接法实现特定的数列的输出,从而通过逻辑门与数码显示管连接。 设计的思想是以最少的芯片数量,制作成体积小、功耗低,并且具有很好的可靠性和可扩展性。 关键词:循环控制数列集成电路数码管

相关文档
最新文档