数字电路频率计课设说明书.

数字电路频率计课设说明书.
数字电路频率计课设说明书.

目录

1. 设计任务及主要技术指标和要求 (1)

2. 总体设计方案 (1)

2.1设计思路 (1)

2.2设计方案和原理框图 (1)

2.3方案比较 (2)

3. 电路设计 (3)

3.1放大整形电路 (3)

3.2时基电路 (5)

3.3计数译码显示电路 (8)

3.4报警电路 (11)

3.5闸门电路 (12)

4. 组装调试 (12)

5. 心得体会 (14)

参考文献 (15)

附录Ⅰ总电路图 (16)

附录Ⅱ元件清单 (17)

1. 选题背景

数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,其功能是测量正弦信号,方波信号,尖脉冲信号以及其他各种单位时间内变化的物理量,因此已经成为电路设计的常用器件之一,它有不可取代的地位。在电子技术中,频率与许多电参量的测量方案,测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中数字计数器测量频率具有精度高,使用方便,测量迅速,以及便于实现测量过程自动化等优点,是测量频率的重要手段之一。 1.1设计任务

设计一个能够测量正弦波信号频率的电路。具体要求如下: (1)测频范围为0~999Hz ,精度为1Hz 。 (2)用数码管显示测频结果。

(3)设有超量程显示(信号频率>=1KHZ 时)。

发挥部分:进一步扩大频率计的测评范围,设计超量程换挡。 说明:在输入正弦波信号峰值为100mv 的情况下测试

2. 总体设计方案

2.1 设计思路:

频率,是单位时间内完成周期性变化的次数,所以我们的设计是将输入的信号进行放大整形之后输入到计数器,计算出一秒内通过的脉冲数量,然后经由译码器将对应的频率通过数码管显示出来。 2.2 设计方案和原理框图:

我们打算采用数电技术来完成设计,该数字频率计主要由放大整形电路、闸门电路、时基电路、计数器电路、译码显示电路几部分组成,总体结构如图:

图2-1 数电频率计原理框图

被测信号X

放大整型电路Y

时基电路Z

门 A

计数器

译码器

七段显码管

从原理图可知,被测信号X在不影响其频率的情况下经放大整形电路变成计数器需求的方波信号Y。由555构成的多谐振荡器提供标准时间基准信号Z,信号Z经主控电路产生门控脉冲A,方波时基信号A作为闸门的一个输入端,控制闸门的开放时间,被测信号X从闸门另一端输入,被测信号频率为f,闸门宽度为T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率f=N/THz。逻辑控制电路的作用有两个:一是控制4511译码器对显示数据进行锁存;二是控制计数器的清零,保证下一次计数从零开始。

1)放大整形电路的选择

对信号的放大功能由三极管放大电路实现,对信号整形的功能由施密特触发器来实现。施密特触发器是一种特殊的数字器件,一般的数字器件当输入超过一定的阈值,其输出一种状态,当输入小于这个阈值时,转变为另一个状态。

方案一:放大电路采用TL082二级运放来实现,整形电路则采用4093,即带有施密特触发器的与非门。

方案二:放大电路同样采用TL082二级运放,在整形方面可以采用555构成的施密特触发器来达成。

2)时基电路的选择

方案一:时基电路的作用是产生一个标准的时间信号(高电平持续时间为1s)可用555构成的多谐振荡器作为时基电路。多谐振荡器又称方波发生器,电路不具有稳定的状态,但是具有两个暂稳态,当电路由一个暂稳态过渡到另一个暂稳态时,其“触发”信号是由电路内部充(放)电提供的,因此无需外部触发脉冲,电路工作就是在两个暂稳态之间来回转换,其脉宽由电阻和电容决定。用555定时器组成的多谐振荡器的振荡频率受电源电压和温度变化的影响很小。其电路图如下:

图2-2 555时基电路

方案二:时基电路可用晶体振荡器和分频器构成。晶振频率取32768Hz,晶振产生脉冲经分频器14级二分频后输出2Hz脉冲(高低电平各持续1s)。

2.3方案比较

1)放大整形电路方案比较

555定时器的灵敏度较高,输出驱动电路大,但考虑到涉及的是简易频率计对精度要求不高英雌没必要使用555定时器构成施密特触发器,而且用4093直接整形相比而言使电路更加简易因此选用方案一

2)时基电路方案比较

方案二晶振分频产生的标准时间精度要高于方案一中的555多谐振荡器产生的标准时间。但是555定时器电路元件较少结构简单使用方便,而且由于设计要求精度不是很高,所以选用方案一。

3. 电路设计

本次设计电路由以下几部分构成:

1)放大整型电路:对被测信号进行放大然后整形为方波;

2)时基电路:产生一个高电平时长为1s的信号;

3)闸门电路:通过时基电路产生的时基信号来控制计数器的计数清零以及译码器的锁存;

4)计数译码显示电路:计数器计算脉冲数量然后输入到译码器,把十进制计数器计数结果译成BCD码,然后通过数码管显示出来。

5)报警电路:在测量时如果被测信号的频率超出量程,则会给予警示

3.1 放大整形电路

放大整形电路作用是将测试信号放大整形成相应的方波脉冲作为计数脉冲,其电路图如图3-1所示:

图3-1放大整形电路

放大整形电路采用TL082运算放大器,由于输入信号幅值为100mV左右,所以这里采用双级放大,共需放大50倍。由运放虚短虚断性质知:放大倍数=R2/R1*R4/R3,从而选R1=R3=10K,R2=100K,R4=50K,为保证零输入运放平衡同相输入所接电阻取R5=R6=10K。

其引脚图以及仿真波形图如下图所示(波形图由上至下依次为被测信号,一级放大,二级放大):

图3-2 TL082引脚图及仿真波形图

CD4093是集成施密特触发器,它由四个2输入端施密特触发器电路组成。每个电路均为在两输入端具有施密特触发功能的2输入与非门。4093用于对放大器的输出信号进行整形,使之成为对应的矩形脉冲。4093接法及仿真波形:

图3-3 4093接法及仿真波形

其引脚图为3-1-4所示:

图3-4 4093引脚图

3.2.时基电路

时基电路主要产生1S的时基信号,这里采用了由555集成芯片构成的多谐振荡器集成芯片。555是一种能够产生时间延迟和多种脉冲信号的控制电路,是数字、模拟混合型的中规模集成电路。电路使用灵活、方便,只需外接少量的阻容元件就可以构成多谐振荡器,广泛应用于信号的产生、变换、控制与检测。

图3-5时基电路

电源接通时,555的3脚输出高电平,同时电源通过R1、R2向电容C2充电,当C2上

的电压到达555集成电路6脚的阀值电压(2/3电源电压)时,555的7脚把电容里的电放掉,3脚由高电平变成低电平。当电容的电压降到1/3电源电压时,3脚又变为高电平,同时电源再次经R1、R2向电容充电。这样周而复始,形成振荡。根据设计需要,该多谐振荡器应输出一个高电平持续时间T1为1s的方波信号,而低电平持续时间T2合理即可。振荡周期T=T1+T2

充电时间:

T1=(R1+R2)*C2*ln2

放电时间:

T2=R2*C2*ln2

经计算我们决定采用:R1=50K,R2=14K,C2=22μF

3.3计数译码显示电路

该部分由计数器,译码器,显码管三部分组成,总电路图如图:

图3-6计数译码显示电路

74LS160是中规模集成同步十进制加法计数器,具有异步清零和同步预置数的功能,通过置零法或置数法可以实现任意进制的计数,所以我们采用了74LS160作为十进制计数器。

根据设计任务要求最大输入信号频率为999Hz,而且需要达到超量程显示的功能故选4个74LS160计数器,其引脚及功能如图:

图3-7 74LS160引脚图

1)RCO为进位输出端,当计数达到进位时从该端输出信号至下一位计数器,即可达到进位

计数;

2)ENP和ENT为计数控制端,LOAD为同步并行置入端(低电平有效),当它们都为高电平

时计数器可以从0000开始计数直到1111然后进位;

3)QA,QB,QC,QD为输出端分别与4511译码器的A,B,C,D连接;

4)CLK为时钟输入端,时基电路产生的时基信号和被测信号通过与非门后接入CLK,当它

为高电平时开始计数低电平时停止计数;

5)CLR为异步清零端(低电平有效)当它为低电平时计数器清零。

表3-1 74LS160引脚及其功能图

输入输出

CLK C LR LOAD ENP ENT A B C D QA QB QC QD

X 0 X X X X X X X 0 0 0 0

高 1 0 X X a b c d a b c d

X 1 1 0 1 X X X X 保持

X 1 1 X 0 X X X X 保持

高 1 1 1 1 X X X X 计数

译码驱动电路使用CD4511。CD4511译码器用于驱动共阴极LED数码管,它是将锁存、译码、驱动三种功能集于一身的电路。CD4511引脚图为:

图3-8 CD4511引脚图

1) A~D 为二进制数据输入端;

2) BL 为输出消隐控制端,低电平时各笔段均消隐;

3) LE 为数据锁定控制端,高电平时锁存,低电平时正常传输数据;

4) LT 为测试端,当输入高电平时显示器正常显示,输入低电平时显示器一直显示8,各笔段均被点亮,以检测显示器是否故障; 5) VDD 和VSS 分别为电源端和接地端;

6) a~e 为数据输出端,用来控制显示器的各个笔段。 其真值表如下:

表3-2 CD4511真值表

输入

输出

LE BL LT D C B A a b c d e f g 显示

X X 0 X X X X 1 1 1 1 1 1 1 8 X 0 1 X X X X 0 0 0 0 0 0 0 消隐

0 1 1 0 0 0 0 1 1 1 1 1 1 0 0 0 1 1 0 0 0 1 0 1 1 0 0 0 0 1 0 1 1 0 0 1 0 1 1 0 1 1 0 1 2 0 1 1 0 0 1 1 1 1 1 1 0 0 1 3 0 1 1 0 1 0 0 0 1 1 0 0 1 1 4 0 1 1 0 1 0 1 1 0 1 1 0 1 1 5 0 1 1 0 1 1 0 0 0 1 1 1 1 1 6 0 1 1 0 1 1 1 1 1 1 0 0 0 0 7 0 1 1 1 0 0 0 1 1 1 1 1 1 1 8 0 1 1 1 0 0 1 1 1 1 0 0 1 1 9 0 1 1 1 0 1 0 0 0 0 0 0 0 0 消 隐

0 1 1 1 0 1 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 1 1 1 0 1 0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 0 0 0 0 0 0 0 1

1

1 X X X X

锁 存

由于最大量程为999Hz,所以在显示方面我们使用了三个七极共阴式数码管其引脚图如下:

图3-9七极共阴数码管引脚图

3.4报警电路

本设计要求最大显示值为999Hz,当超出该值应有报警显示超量程,为了简化报警电路我们使用了一个发光二极管,为使二极管在计数器刚好计到1000时发光,应在最后一位计数器后再串行一个计数器,然后再接一个译码器,利用译码器的锁存功能将超量程显示与数据一起锁存住,发光二极管接到该译码器的b或者c输出端,这样当超出量程时,译码器输出对应数字1的信号,即b,c端为高电平可以将发光二极管点亮。

图3-10报警电路电路图

3.5闸门电路

闸门电路需要一个非门和一个与非门,与非门采用74HC00其输入分别接整形后的被测信号和时基信号,输出接计数器CLK脚;当时基信号输出高电平时,闸门打开,计数器可以接收到被测信号并且开始计数,输出低电平时闸门关闭计数器停止计数并清零。

非门采用40106输入接时基信号,输出接译码器的锁存脚LE,用来控制数据的锁存,当其为高电平时正常计数,低电平时锁存数据。其引脚图如下:

图3-11 40106引脚图

图3-12 74HC00引脚图

4. 组装调试

1.通电准备

打开电源之前,先按照系统原理图检查制作好的电路板的通断情况,并取下电路板上的芯片,然后接通电源,用万用表检查板上的各点电源电压值,确认无误之后再关掉电源,插上芯片。

2.单元电路检测

1)基准时间检测

依次用示波器检测由555构成的多谐振荡器产生的基准时间和输出波形。输出波形应为高电平宽度为1s的信号,如果波形宽度不对,则调整电容C;如果输出时间不对,则调整R1,R2;如果无输出波形,应对555各引脚的电平或信号波形进行检测。

2) 输入检测信号

从被测信号输入端输入幅值在100mV左右频率为100Hz左右的正弦信号,如果电路正常,数码管可以显示被测信号的频率。如果数码管没有显示,或显示值明显偏离输入信号频率,则作进一步检测。

3) 输入放大与整形电路检测

用示波器同时观测输入信号与整形电路CD4093的输出波形,正常情况下,可以观测到与输入频率一致、信号幅值为5V左右的矩形波。如观测不到输出波形,或观测到的波形形状与幅值不对,则应检测两级放大电路,用示波器观察放大器TL082一二级输出端电压是否满足要求,然后消除故障。

4)计数器电路的检测

依次检测4个计数器74ls160时钟端的输入波形,正常时,相邻计数器时钟端的波形频率依次相差10倍。如频率关系不一致或波形不正常,则应对计数器和反馈门的各引脚电平与波形进行检测。正常情况各电平值或波形应与电路中给出的状态一致。通过检测与分析找出原因,消除故障。如电路正常,或消除故障后频率计仍不能正常工作,则检测锁存器电路。

5)显示译码电路与数码管显示电路的检测

首先检测显示译码器CD4511各控制端与电源端引脚的电平,其次对LT端输入高电平,如果数码管显示为8,则数码管与译码器连接正常,如发现故障,首先检测故障笔段与译码器对应引脚的连接,其次检测数码管各段对应引脚的电平及公共端的电平。通过检测与分析找出故障。

6)系统调试

在放大电路输入端加入Vpp=100mV ,f=100Hz 的正弦信号,用示波器观察放大电路和整形电路的输出波形,显示应为100Hz的方波信号,显示器上的读数应为 100Hz 。

再输入频率为999Hz的正弦信号,以此来确定频率计的最大量程,以及误差的大小。

最后输入1000Hz以上的正弦信号,以此来检测频率计的超量程显示功能,如果工作正常则发光二极管会被点亮

5.心得体会

总体来说我们这次的课程设计是比较成功的,在整个设计过程中我们也遇到了各种各样的问题和挫折,最初,在仿真电路成功的基础上我们依旧无法成功的完成设计,后来经过我们多方查证各种资料,根据实际情况对元件和电路图进行了数次调整和测试才徵宫的完成了我们的设计。

我们的电路在总体设计上来看是比较简洁明了的,而且在电路原理上也尽量进行了简化,但是由于现实中元件的限制导致我们的实验结果缺乏一定的精确度,不过我们还是可以满足设计要求的准确度的,而且由于设计方面的缺陷我们设计的频率计并不能手动控制工作,而且在报警电路的部分设计的并不完美,额外使用了一个译码器,造成了一定程度上的浪费,在这方面我们仍需进一步的改进。

我们的设计出现问题最多的地方就是时基电路的部分,我们一方面要控制时基电路输出正确的信号,这是比较复杂的一部分,我们既要考虑到现实元件的限制也要考虑到实验

的需求,为了同时满足这两点我们不停地试验了近十种电容和电阻的组合,最终才找到了比较完美的一种。

电子技术课程设计是电子技术课程的实践性环节,这次频率计的设计不仅综合了数字模拟电子技术基础的基本理论知识,而且还需要独立思考的能力,并要求具有较强的动手能力,因此做好这个课题设计受益非浅。下面就本次课程设计的收获和体会做几点总结。

在设计电路图的过程中,对数模电相关知识进行了一次全面系统地复习,并通过查阅资料掌握了一些常用元器件的功能及用法。

绘制电路的过程中,学习了一些重要软件如proteus、multsim、protel的使用方法,同时也在无形中加强了分析器件、分析电路图的能力。

组装调试过程是最费时间的一个阶段,它需要我们各方面的综合能力,对原理是不是清楚,对芯片的工作状态是不是了解,以及分析解决问题的能力。

这次的课设,让我深深的感悟到课本上的知识只是理论,只看书思维永远只定格在了“广”,而无法对其真正的了如指掌,运用自如,做到“精”。实践恰恰为我们提供了一个从“广”到“精”的平台,在实践中,让我们深刻的了解和掌握诸如各种器件的性能、用法及应注意事项。

课设期间,不仅仅是实践的重要时刻,更是我们培养思考问题、分析问题、解决问题的能力以及面对突如其来意想不到的问题时的应变能力,使我们受益匪浅,对今后的学习和工作都奠定了无可比拟的作用。

通过此次的设计,我也领略到了团队精神的可贵。在课设过程中,是知识的交流,更是人与人之间心的交流,我们不能不肯定最终的成功带给我们的喜悦,也不能否认失败和挫折带来的打击和困惑,但是团队之间的协作、老师的耐心指导和同学们的帮助,让我觉得怎样的劳动和挫折都是值得的,正所谓“不经历风雨,怎能见彩虹”,“阳光总在风雨后”,成功的喜悦和奋斗的过程是无与伦比的。

对我而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次设计将成为我学习旅途中一个美好的回忆!

参考文献

【1】党宏社. 电路、电子技术实验与电子实训电子工业出版社【2】阎石. 数字电子技术基础(第四版). 高等教育出版社【3】华成英. 模拟电子技术基础(第四版). 高等教育出版社【4】周惠潮. 常用电子元件及典型应用电子工业出版社

7.附录

7.1 总电路图:

图7-1总电路图

7.2 元件清单

元件名称参数及数量

电阻51K 2个10K 6个100K 1个1K 4个

电容10nf 1个

22uf 1个LED发光二极管(红)1个

七段显码管(共阴) 3个

集成块CD4511 4个CD4093 1个74LS00 1个74LS160 4个555 1个TL082 1个

其他导线若干

简易数字频率计

4.2.3简易数字频率计电路设计 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 一、设计目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 二、设计任务与要求 要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为: 1.测量范围:1HZ—9.999KHZ,闸门时间1s; 10 HZ—99.99KHZ,闸门时间0.1s; 100 HZ—999.9KHZ,闸门时间10ms; 1 KHZ—9999KHZ,闸门时间1ms; 2.显示方式:四位十进制数 3. 当被测信号的频率超出测量范围时,报警. 三、数字频率计基本原理及电路设计 所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:

图4-2-6数字频率计原理图 从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.放大整形电路 放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 2.时基电路 时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。 (1)555多谐振荡电路产生时基脉冲 采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。 (2)分频电路 由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。 图4-2-7 555多谐振荡电路 3. 逻辑控制电路 在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路 4.锁存器 锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器

数字电路及其应用(一)

数字电路及其应用(一) 编者的话当今时代,数字电路已广泛地应用于各个领域。本报将 在“电路与制作”栏里,刊登系列文章介绍数字电路的基本知识和应用实例。 在介绍基本知识时,我们将以集成数字电路为主,该电路又分TTL和CMOS 两种类型,这里又以CMOS集成数字电路为主,因它功耗低、工作电压范围宽、扇出能力强和售价低等,很适合电子爱好者选用。介绍应用时,以实 用为主,特别介绍一些家电产品和娱乐产品中的数字电路。这样可使刚入门的 电子爱好者尽快学会和使用数字电路。一、基本逻辑电路 1.数字电路 的特点 在电子设备中,通常把电路分为模拟电路和数字电路两类,前者涉及模 拟信号,即连续变化的物理量,例如在24小时内某室内温度的变化量;后者 涉及数字信号,即断续变化的物理量,如图1所示。当把图1的开关K快速通、断时,在电阻R上就产生一连串的脉冲(电压),这就是数字信号。人们把用来 传输、控制或变换数字信号的电子电路称为数字电路。数字电路工作 时通常只有两种状态:高电位(又称高电平)或低电位(又称低电平)。通常把高电 位用代码“1”表示,称为逻辑“1”;低电位用代码“0”表示,称为逻辑“0”(按正逻 辑定义的)。注意:有关产品手册中常用“H”代表“1”、“L”代表“0”。实际的数字 电路中,到底要求多高或多低的电位才能表示“1”或“0”,这要由具体的数字电 路来定。例如一些TTL数字电路的输出电压等于或小于0.2V,均可认为是逻 辑“0”,等于或者大于3V,均可认为是逻辑“1”(即电路技术指标)。CMOS数字 电路的逻辑“0”或“1”的电位值是与工作电压有关的。讨论数字电路问 题时,也常用代码“0”和“1”表示某些器件工作时的两种状态,例如开关断开代 表“0”状态、接通代表“1”状态。 2.三种基本逻辑电路

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

数字频率计设计_数字电子技术课程设计实验报告

电子技术基础 课程设计 题目名称:数字频率计设计 评语: 成绩: 重庆大学电气工程学院 2015年7月6日 目录 摘要 (1) 1、设计的目的及要求 (2) 1.1、设计目的 (2) 1.2、设计要求 (2) 2、设计思路及方案选择 (2) 2.1、设计思路 (2) 2.2、设计方案选择 (2)

3、设计及仿真 (3) 3.1、总体框图 (3) 3.2、各模块功能实现及介绍 (3) (1)整形电路 (3) (2)时钟产生及分频电路 (4) (3)T触发器 (5) (4)单稳触发器 (6) (5)计数器 (7) (6)锁存器 (8) (7)显示 (8) (8)小数点功能的实现 (8) 3.3全部电路及功能测试 (10) 4、焊接规划及实物设计 (12) 4.1、逻辑设计图转换 (12) 4.2、电路VCC\GND端共线设计 (12) 4.3、焊接元器件及排针 (12) 4.4、元件接线及电流引入 (12) 5、总结与感想 (12) 参考文献 (14)

摘要 作为数字电子技术、模拟电子技术中最常用的基本参数,频率经常会被应用到各种数据的计算当中。这就导致数字频率计在电子技术领域应用广泛,其作为一种最基本的测量仪器以其测量精度高、速度快、操作简便、数字显示等特点被广泛应用。本文主要介绍制作简易数字频率计的原理、方法以及设计思路。以74LS系列常用电子集成电路为例,分析如何利用整形、计数、分频、译码电路实现对于矩形波、三角波、方波等信号的频率分析及显示。本文以作者二人小组的设计为蓝本,分享设计经验,为有制作需求及意愿的人提供施行经验。 关键字:频率计整形电路分频电路计数方式

VC2000智能频率计使用说明书06.5.7

VC2000智能频率计使用说明书 一、概述 VC2000智能频率计是多功能智能仪器,具有:频率测量、脉冲计数,及晶体测量等功能,并有4档时间闸门5档功能选择,和8位LED高亮度显示。 本频率计是一个10HZ——2400MHZ的多功能智能频率计。 全部功能是用一个单片微控制器(CPU)来完成的。本仪器是智能数字化仪器,晶体有恒温控控制线路,降低了温度漂移造成的测量误差,本机有工作状态记忆功能,每次开机后均可按上次所设置的功能工作。整机性能稳定,功能齐全,是一种高性能,低价位的理想智能数字化仪器。 二、技术条件及说明 1.测量 (1)、输入端口 本机有3个输入通端口 1.A端口为50MHZ—2400MHZ的高频通道端口 2.B端口为10MHZ-50MHZ的低频通道端口 3.晶振端口为晶体测量端口 (2)、频率测量 1.量程 共有5个档位,第1—3档测频率,第4档测累计计数,第5档测晶体 档位1 50MHZ—2400MHZ由A端口输放 档位2 4MHZ—50MHZ由B端口输放 档位3 10HZ—4MHZ由B端口输入 2.分辨率 3.闸门时间:0.1秒、1.0 秒、5.0秒、10秒,可任选。 3.精度:基准时间误差*被测频率±1个字。 (3)累计测量 档位4,B输入端口;分辨计:±1个字计数频率范围:10HZ—4HZ (4)晶体测量 档位5,由面板晶体振槽插入,测试范围:3.5MHZ—16MHZ 2.输放特性 通道A输放灵敏度25mVrms/200mVrms;阻抗约50 欧;最大安全电压为3V

通道B输放灵敏度:第二档:25mVrms/80mVrms;第三档:10mVrms/30mVrms;阻抗约1兆欧(少于35Pf);最大安全电压为30V 3.时基: 短期稳定度:±3*10-9/秒;长稳定度:±210-5/月;温度:±1*10-5 10℃--40℃ 4.显示 为8位LED高亮度显示并带有频率、计数、晶振、KHZ、MHZ等显示以及各档位和时间闸门的LED显示。 5.电源:幅度AC 220V/110V±10%;频率50HZ/60HZ 6.温度使用范围–5℃-50℃;存放和运输:–40℃-60℃ 7.湿度:10—90%RH 存放5—90%RH。 8.预热时间:20分钟 9.尺寸:270mm*215mm*100mm 10.重量约1550g 第二章操作说明 一。使用要求。 (1)电源要求:AC 220V/110V±10%、50HZ/60HZ最大消耗功率为5W。 (2)预热要求:测量前应预热20分钟以保证晶体振荡器的频率稳定。 二.面板说明 正面:晶振键、闸门键、档位键、确定键、复位键、晶振插槽、晶振指示灯、MHZ指示灯、KHZ指示灯、LED显示器、计数指示灯、频率指示灯、B端口(10HZ—50MHZ)、A端口(50MHZ—2.4GHZ);背面:电源开关、电源转换开关(A V220V/110V);电源插座;保险丝座。 正面板说明: 晶振按键:用于测量晶振的按键,当测晶振时将被测晶振插入面板右下方的晶振插槽,并同时按下此键才能测试晶体,没测晶振时一定要再按此键一闪,使振荡线路停振,以确保不对外界产生干扰。 闸门按键:用于设置测量时的不同计数周期(产生相应的分辨率)。共设有4个闸门时间即0.1s 、1S、5S、10S(S=秒) 档位按键:共设置5个档位 档位1:50MHZ—2400MHZ量程A通道,测量单位显示“MHZ”(窗口后部显示) 档位2:4MHZ—50MHZ量程B通道,测量单位显示“MHZ”(窗口后部显示) 档位3:10HZ—4MHZ量程B通道,测量单位显示“KHZ”(窗口后部显示) 以上三档为测量频率档位,“频率”指示类亮。(在窗口前端) 档位4:累积计数测量,B通道输入,此时“计数”灯亮。 档位5:测试晶体,晶振插槽插入,此时“晶振”灯亮,测量单位显示“KHZ”。 每次选择好闸门,档位后再按下“确定”键后,频率计即刻开始工作,每次开机或“复位”键后,仪器自动进入上次按“确定”后的工作状态。 复位按键:当仪器出现非正常状态时,按一下该键,则仪器可恢复正常工作。 B通道:档位2、3、4输放最大幅小于30V。 A通道:档位1输入端口,输放最大幅度不于3V。 后面板说明: 电源开关 电源转换开关:A V220V/110V可转换 电源插座

multisim简易数字频率计

. . . . 哈尔滨工业大学 简易频率计的仿真设计

目录 1.设计要求 2. 总电路图及工作原理 3.电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4. 电路的测试 5. 分析与评价 附录:元器件清单 1.设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪 2

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz; 3. 显示方式:4位十进制数显示。 2.电路工作原理 频率计总电路图如下所示: 2

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3.电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示: 2

3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入。 闸门电路 2

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

南京邮电大学课程设计报告-简易数字频率计

目录 第一章技术指标 整体功能要求 系统结构要求 电气指标 扩展指标 设计条件 第二章整体方案设计 算法设计 整体方框图及原理 第三章单元电路设计 时基电路设计 闸门电路设计 控制电路设计 小数点显示电路设计 整体电路图 整机原件清单 第四章测试与调整 时基电路的调测 显示电路的调测 4-3 计数电路的调测 控制电路的调测 整体指标测试 第五章设计小结 设计任务完成情况 问题及改进 心得体会 第一章技术指标

1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 3.电气指标 被测信号波形:正弦波、三角波和矩形波。 测量频率范围:分三档: 1Hz~999Hz ~ ~ 测量周期范围:1ms~1s。 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~的精度均为+1。 5.设计条件 电源条件:+5V。 可供选择的元器件范围如下表

门电路、阻容件、发光二极管和转换开关等原件自定。 第二章 整体方案设计 算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图2-1所示的算法。图2-2是根据算法构建的方框图。 被测信号

电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在10 3量级,则要求闸门信号的精度为10 量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ,闸门信号的误差不大于,固由此造成的计数误差不会超过1,符合5*10 3的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 3范围内。 整体方框图及原理 输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。 频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号由RC振荡电路构成一个较稳定的多谐振荡器,经4093整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。 周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。

自适应频率计设计说明书

自适应数字频率计 设 计 说 明 书 负责人:张赟颍 队员:黄蜀宾、熊华竞

目录 1、项目介绍................................................................................................................................ - 1 - 2、制作流程图............................................................................................................................ - 1 - 2.1 项目制作流程如下:................................................................................................... - 1 - 2.2 项目时间进度安排如下:........................................................................................... - 1 - 3、系统功能分析........................................................................................................................ - 2 - 3.1 系统的功能模块框图................................................................................................... - 2 - 3.2 分频模块....................................................................................................................... - 3 - 4.选频模块: ......................................................................................................................... - 5 - 5. 控制模块......................................................................................................................... - 7 - 6 数码管显示.................................................................................................................... - 13 - 7、软件设计.............................................................................................................................. - 13 - 7.1 软件流程图................................................................................................................. - 13 - 8.软件代码介绍......................................................................................................................... - 14 - 9、附件...................................................................................................................................... - 19 - 9.1 系统的原理图............................................................................................................. - 19 - 系统PCB图...................................................................................................................... - 20 -

简易数字频率计

宁波工程学院 电子信息工程学院 课程设计报告 课程设计题目:简易数字频率计 起讫时间:2011年05月23日至2011年06月03日

目录第一章技术指标 1.1整体功能要求 1.2电气指标 1.3扩展指标 1.4设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图 2.3 计数原理 第三章单元电路设计 3.1 波形变换电路 3.2 闸门电路设计 3.3小数点显示电路设计 第四章测试与调整 4.1 硬件测试与调整 4.2 软件测试与调整 4.3 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进 5.3心得体会 附录

第一章技术指标 1.1整体功能要求 设计并制作一台数字显示的简易频率计,主要用于测量正弦波、方波等周期 信号的频率值。 1.2 电气指标 1.2.1 信号波形:方波; 1.2.2 信号幅度;TTL电平; 1.2.3 信号频率:100Hz~9999Hz; 1.2.4 测量误差:≤1%; 1.2.5 测量时间:≤1s/次,连续测量; 1.2.6 显示:4位有效数字,可用数码管,LED或LCD显示。 1.3扩展指标 1.3.1 可以测量正弦波信号的频率,电压峰-峰值VPP=0.1~5V; 1.3.2 方波测量时频率测量上限为3MHz,测量误差≤1%; 1.3.3 正弦(Vopp=0.1V~5V)测量时频率测量上限为3MHz,测量误差≤1%; 1.3.4量程自动切换,且自动切换为四位有效数字输出; 1.4设计条件 1.4.1 电源条件:+5V。 1.4.2开发平台:本系统以高速SOC单片机C8051F360和FPGAEP2C8T144为 核心,主要包括9个模块,其主要配置见表1-1。 表1-1数字电子系统设计实验平台模块一览 型号名称主要配置 MCU模块SOC单片机8051F360,CPLD芯片EMP3064TC44 74151 FPGA模块EMP3064TC44,串行配置芯片,JTAG和AS配置 接口 74153 LCD和键盘模块12864中文液晶,16个按键 7404 8位高速A/D模块30MHz8位A/D转换器ADS930,信号调理电路4518 10位高速D/A模块双路100MHz10位D/A转换器THS5651,差分放 大电路,反相器

数字电路的应用

数字电路的应用 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二进制数据的数字电路。从整体上看,数字电路可以分为组合逻辑电路和时序逻辑电路两大类。 数字电路是以二值数字逻辑为基础的,其工作信号是离散的数字信号。电路中的电子晶体管工作于开关状态,时而导通,时而截止。数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。 数字集成器件所用的材料以硅材料为主,在高速电路中,也使用化合物半导体材料,例如砷化镓等。逻辑门是数字电路中一种重要的逻辑单元电路。TTL 逻辑门电路问世较早,其工艺经过不断改进,至今仍为主要的基本逻辑器件之一。随着CMOS工艺的发展,TTL的主导地位受到了动摇,有被CMOS器件所取代的趋势。近几年来,可编程逻辑器件PLD特别是现场可编程门阵列FPGA的飞速进步,使数字电子技术开创了新局面,不仅规模大,而且将硬件与软件相结合,使器件的功能更加完善,使用更灵活。数字电路或数字集成电路是由许多的逻辑门组成的复杂电路。与模拟电路相比,它主要进行数字信号的处理(即信号以0与1 两个状态表示),因此抗干扰能力较强。数字集成电路有各种门电路、触发器以及由它们构成的各种组合逻辑电路和时序逻辑电路。一个数字系统一般由控制部件和运算部件组成,在时脉的驱动下,控制部件控制运算部件完成所要执行的动作。通过模拟数字转换器、数字模拟转换器,数字电路可以和模拟电路互相连接。 分类 按功能来分: 1、组合逻辑电路 简称组合电路,它由最基本的逻辑门电路组合而成。特点是:输出值只与当时的输入值有关,即输出惟一地由当时的输入值决定。电路没有记忆功能,输出状态随着输入状态的变化而变化,类似于电阻性电路,如加法器、译码器、编码器、数据选择器等都属于此类。 2、时序逻辑电路 简称时序电路,它是由最基本的逻辑门电路加上反馈逻辑回路(输出到输入)或器件组合而成的电路,与组合电路最本质的区别在于时序电路具有记忆功能。时

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计与分析 1.器件选择 2.设计及工作原理分析 四、电路的组构与调试 1.遇到的问题 2.现象记录及原因分析 3.解决与结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED

七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档:100.0Hz——999.9Hz 第二档:1.000kHz——9.999kHz 第三档:10.00kHz——99.99kHz 第四档:100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。

二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。 累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图

信号发生器的基本参数和使用方法

信号发生器 本人介绍一下信号发生器的使用和操作步骤. 1、信号发生器参数性能 频率范围:0.2Hz ~2MHz 粗调、微调旋钮 正弦波, 三角波, 方波, TTL 脉波 0.5" 大型LED 显示器 可调DC offset 电位 输出过载保护 信号发生器/信号源的技术指标: 波形正弦波, 三角波, 方波, Ramp 与脉波输出 振幅>20Vp-p (open circuit);>10Vp-p (加50Ω负载) 阻抗50Ω+10% 衰减器-20dB+1.0dB (at 1kHz) DC 飘移<-10V ~ >+10V, (<-5V ~ >+5V 加50Ω负载) 周期控制 1 : 1 to 10 : 1 continuously rating 显示幕4位LED显示幕 频率范围0.2Hz to2MHz(共7 档) 频率控制Separate coarse and fine tuning

失真< 1% 0.2Hz ~ 20kHz , < 2% 20kHz ~ 200kHz 频率响应< 0.2dB 0.2Hz ~100kHz;< 1dB100kHz~2MHz 线性98% 0.2Hz ~100kHz;95%100kHz~2MHz 对称性<2% 0.2Hz ~100kHz 上升/下降时间<120nS 位准4Vp-p±1Vp-p ~ 14.5Vp-p±0.5Vp-p 可调 上升/下降时间<120nS 位准>3Vpp 上升/下降时间<30nS 输入电压约0V~10V ±1V input for 10 : 1 frequency ratio 输入阻抗10kΩ(±10%) 交流100V/120V/220V/230V ±10%, 50/60Hz 电源线×1, 操作手册×1, 测试线GTL-101 ×1

简易数字频率计设计报告

简易数字频率计设计报告 目录 一.设计任务和要求 (2) 二.设计的方案的选择与论证 (2) 三.电路设计计算与分析 (4) 四.总结与心得..................................... 错误!未定义书签。2 五.附录........................................... 错误!未定义书签。3 六.参考文献....................................... 错误!未定义书签。8

一、 设计任务与要求 1.1位数:计4位十进制数。 1.2.量程 第一档 最小量程档,最大读数是9.999KHZ ,闸门信号的采样时间为1S. 第二档 最大读数是99.99KHZ ,闸门信号采样时间为0.1S. 第三档 最大读数是999.9KHZ ,闸门信号采样时间为10mS. 第四档 最大读数是9999KHZ ,闸门信号采样时间为1mS. 1.3 显示方式 (1)用七段LED 数码管显示读数,做到能显示稳定,不跳变。 (2)小数点的位置随量程的变更而自动移动 (3)为了便于读数,要求数据显示时间在0.5-5s 内连续可调 1.4具有自检功能。 1.5被测信号为方=方波信号 二、设计方案的选择与论证 2.1 算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图 2-1所示的算法。图2-2是根据算法构建的方框图。 被测信号 图2-2 频率测量算法对应的方框图 输入电路 闸门 计数电路 显示电路 闸门产生

整体方框图及原理 频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号有555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。 周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。时基电路:时基信号由555定时器、RC组容件构成多谐振荡器,其两个暂态

简易数字显示频率计的设计

简易数字显示频率计的设计 摘要:本文应用NE555构成时钟电路,7809构成稳压电源电路,CD4017构成控制电路,CD40110和数码管组成计数锁存译码显示电路,实现可测量1HZ-99HZ这个频段的数字频率计数器。 关键词:脉冲;频率;计数;控制 1 引言 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量显得很重要。测量频率的方法有很多,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。 2 电子计数器测频方法 电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 3 简易数字频率计电路组成框图 本设计主要运用数字电路的知识,由NE555构成时钟电路,7809构成稳压电源电路,CD4017构成控制电路,CD40110和数码管组成计数锁存译码显示电路。从单元电路的功能进行划分,该频率计由四大模块组成,分别是电源电路、时钟电路(闸门)、计数译码显示电路、控制电路(被测信号输入电路、锁存及清零)。电路结构如图1所示。 图1 简易数字频率计电路组成框图 4 单元模块电路设计

4.1电源电路 在电子电路中,通常都需要电压稳定的直流电源供电。小功率的稳压电源的组成如图2所示,它由电源变压器、整流电路、滤波电路和稳压电路四部分组成。 图2 电源电路 220V市电经220V/12V变压器T降压,二极管桥式整流电路整流,1000uF电容滤波后送人7809的输入端(1脚)。7809的第二脚接地,第三脚输出稳压的直流电压,C7、C8是为了进一步改变输出电压的纹波。红色发光管LED指示电源的工作状态,R9为LED的限流电阻,取值为5.1K。 4.2 时钟电路 电路如图3所示,由NE555构成的多谐振电路,3脚输出振荡脉冲,其中LED为黄色发光二极管,R1为5.1K,R2为1K,R3为10K,C1,C5为100UF,C4为0.01UF,C2为1000PF,R PE 选取10K。 图3 时钟电路 4.3计数、显示电路

数字电子技术的应用

龙源期刊网 https://www.360docs.net/doc/aa8369605.html, 数字电子技术的应用 作者:尹润翔 来源:《电子技术与软件工程》2017年第10期 数字电路中逻辑门电路是最基本的电路逻辑元件。所谓“门”就是一种开关,它能按照某些条件去控制电子信号的通过或不通过。门电路的信号输入和信号输出之间存在一定的逻辑关系(因果关系),所以门电路又称为逻辑门电路。门电路的基本逻辑关系为“与”、“或”、“非”三种。通过这三种关系,可以实现多种多样的功能。而对于传统的机械手表来说,它的功能单一。所以可以通过数字电子技术是它的功能更加丰富,更符合人们生活的需要。例如,除了传统机械手表的功能;显示时间之外,还可以增加显示日期,秒表计时,定时闹钟等功能。 【关键词】高电平低电平输入端输出端 1 数字电子技术 在2016年夏天,我去表哥家玩,在他的书桌上放着一本有关数字电子技术的书,出于好奇心,于是我就翻看了几页,然后我就喜欢上了数字电子技术这门课。以下是我对数字电子技术的认识。核心内容就是把一系列连续的信息数字化,或者说是不连续化。在电子技术中,信号可以根据是否连续分为两大类:一类信号是连续的模拟信号,这类信号的特征是,无论从时间上还是从信号的大小上都是连续变化的,用于传递、加工和处理模拟信号的技术叫做模拟技术,处理模拟信号的电路称为模拟电路。常用的有整流电路、放大电路等,而且研究的是输入和输出信号间的大小及相位关系;另一类信号是不连续的数字信号,数字信号的特征是,无论从时间上或是大小上都是离散的,或者说都是不连续的,传递、加工和处理数码信号的叫做数字技术。处理数字信号的电路称为数字电路,它注重研究的是输入、输出信号之间的逻辑关系而非大小和相位的关系。“门”电路是数字电路中最基本的逻辑元件。所谓“门”就是一种开关,它能按照特点的的条件去控制电路信号的通过或不通过。门电路的输入和输出之间存在一定的逻辑关系(因果关系),所以“门”电路又称为逻辑门电路。基本逻辑关系为“与”、“或”、“非”三种。数字技术有以下特点: (1)在数字技术中采用二进制,因此凡元件具有的两个稳定状态都可用来表示二进制,(例如“高电平”和“低电平”),所以其基本单元电路简单,电路中各元件对精度要求不严格,允许基本参数有较大的偏差,只要能区分两种截然不同的状态即可。这一特点,降低了数字电路对元件的要求,降低了数字电路的成本,对实现数字电路集成化是十分有利的。 (2)抗干扰能力强、精度高。采用二进制的数字技术传递加工和处理的是二值信息,不易受外界的干扰,抗干扰能力强。另外它可用增加二进制数的数位提高精度。 (3)数字信号便于长期存贮,使大量可贵的信息资源得以保存。

相关文档
最新文档