基于嵌入式CPU S3C2440的VGA显示系统设计

基于嵌入式CPU S3C2440的VGA显示系统设计
基于嵌入式CPU S3C2440的VGA显示系统设计

基于嵌入式CPU S3C2440的VGA显示系统设计

摘要:基于VGA接口时序,以高性能视频D/A芯片ADV7120为核心。实现了基于嵌入式CPUS3C2440的VGA显示子系统。系统一方面利用S3C24 40自带的LCD控制器产生符合VGA显示要求的时序逻辑,另一方面通过LCD数据线将数字RGB信号传递给具有8路通道的视频D/A芯片ADV7120,产生VGA显示需要的模拟色彩信号。通过TFTLCD扫描显示的时序与VGA扫描显示时序的匹配,驱动VGA显示屏。该系统能够达到正常显示色彩信息的要求,且价格低廉,适用于对显示效果要求不苛刻,但要求大尺寸显示屏且对价格敏感的嵌入式应用中。

目前很多SOC厂商的微处理器芯片都集成了LCD控制器,如三星公司的

S3C2410.S3C2440,Intel的Xscale系列等。大多数嵌入式系统也采用流行的LCD显示技术。但是在需要大屏幕显示、对分辨率要求不高的场合,如车间、厂房,采用大屏幕LCD则成本过高。另一方面,VGA显示技术因为技术发展成熟,成本低廉,仍在被大量使用,直到今天它仍是所有显示终端最为成熟的标准接口。如果让嵌入式处理器直接支持VGA 显示器,则能很大地利用现有资源,节约系统成本。

1 基于S3C2440的VGA显示技术分析

通过分析VGA显示技术的时序逻辑与S3C2440内部集成LCD控制器驱动TFT LCD 的时序逻辑,找出它们的共同点,分析在S3C2440上应用VGA显示接口的可行性。

1.1 VGA显示原理

VGA(Video Graphics Arrnay)是IBM公司提出的目前仍然广泛应用于PC的显示接口。该接口具有分辨率高、显示速率快、颜色丰富等优点,在彩色显示器领域得到了广泛的应用。VGA接口在物理上表现为DB15的插座,其中VGA适配器端使用的是阴性DB15标准的接口。其引脚定义如表1所示。

表1 VGA适配器引脚定义

VGA接口使用模拟RGB通道,逐点、逐行扫描。其时序如图1所示。VGA接口信号为模拟信号,其关键信号有5个,分别是Horizontal Sync水平同步信号(也叫行同步信号),垂直同步信号Vertical Sync(也叫场同步信号),红色模拟信号,绿色模拟信号和篮色模拟信号。电子枪从左至右,从上而下的进行扫描,每行结束时,用行同步信号进行同

步。扫描完所有的行后用场同步信号进行场同步。因电子枪偏转需要时间,所以扫完回转中,要对电子枪进行消隐控制,在每行结束后的回转过程中进行行消隐,在每场结束后的回转过程中进行场消隐。消隐过程中不发送电子束。

图1 VGA的扫描时序

1. 2 TFT LCD显示屏扫描时序分析

基于ARM920T内核的S3C2440芯片外围集成了LCD控制器,LCD控制器被用来向LCD传输图像数据,并提供必要的控制信号,比如VFRAME、VLINE、VCLK、VM等。除此之外,LCD控制器还包括一组控制寄存器:LCDCON1寄存器、LCDCON2寄存器、LCDCON3寄存器、LCDCON4寄存器、LCD CON5寄存器。这些寄存器的设置与显示屏信息、控制时序和数据传输格式等密切相关,在设计中需要根据显示设备的具体信息正确设置这些寄存器才能使S3C2440正常控制驱动不同的显示屏。

典垂的TFT液晶显示屏的扫描对序如图2所示。

图2 典型TFT LCD扫描时序

其中主要包括:

1)帧(垂直)同步(VSYNC):用高电平(或低电平)表示扫描一帧的起始。

2)行(水平)同步(HSYNC):用高电平(或低电平)表示扫描一行的起始。

3)时钟(VCLK):通过上升沿(或下降沿)把数据写入液晶屏。

4)数据有效控制(VDEN):表示是否开启TFT输出。

5)数据信号(VD):表示每个点的颜色,通常有16位、18位、24位等模式。

通过对比VGA接口的时序和TFT LCD液晶显示屏的扫描时序,可以看出它们很相似。这就为用LCD控制器驱动VGA显示屏提供了内在的可能性。而且一旦实现了这种转接方案,由于是由硬件实现的两种接口的电气转换,不需要写任何驱动程序,是在嵌入式系统平台上扩展VGA接口的最方便的方案。比较两种接口的特性,要实现从TFT时序到VGA时序的转换,需要解决的向题有:

1)TFT液晶扫描同步信号和VGA同步信号的电平问题。

2)TFT液晶控制器的输出是RGB数字接口,而VGA的红绿蓝通道时模拟量,两者需要通过D/A转换。使用D/A要考虑转换精度、转换速度、转换通道数等问题。其中,为满足真彩色(24位)的要求,8位的转换精度就可以。基于VGA对帧频的要求,每个点的转换频率必须大于27 MHz,同时,必须至少有3个通道同时转换,以满足红绿蓝(RGB)3个通道的输出。

针对这种转换的D/A通常称为视频D/A,本设计采用ATI公司的视频D/A芯片ADV7120。

1.3 ADV7120简介

ADV7120是美国ADI公司生产的高速视频数模转换芯片,其像素扫描时钟频率有30、50、80 MHz 3个等级。ADV7120在单芯片上集成了3个独立的8位高速D/A转换器,可以分别处理红、绿、蓝视频数据,特别适用于高分辨率模拟接口的显示终端和要求高速D/A转换的应用系统。

ADV7120的输入及控制信号非常简单:3组8位的数字视频数据输入端,分别对应RGB视频数据,数据输入端采用标准TTL电平接口;4条视频控制信号线包括复合同步信号SYNC、消隐信号BLANK、白电平参考信号REFWHITE和像索时钟信号CLOCK;外接一个1.23 V数模转换参考电压源和1个输出满度调节。只有4条输出信号线:模拟RGB 信号采用高阻电流源输出方式,可以直接驱动75 Ω同轴传输线;同步参考电流输出信号Isync用来在绿视频模拟信号中编码视频同步信息。

2 VGA接口电路设计

如前所述,VGA接口的时序和LCD扫描式接口的时序是一致的,利用ADV7120组成的TFT液晶时序到VGA接口的转换模块结构框图如图3所示。

图3 VGA接口电路组成框图

根据ADV7120的数据手册,ADV7120对参考电平的要求度很高,不能以电阻分压电路代替。本设计中采用了1.235 V电压基准芯片AD589来产生参考电压。

3 VGA显示模式的选择及S3C2440 LCD controller中相应控制寄存器的设置

最初VGA的显示包含几种模式,最初VGA的分辨率被定义为640x480,接着更高分辨率的SVGA、XVGA等标准在此基础上被提出,接口上都兼容VGA标准,所以,习惯上把所有这种接口都称为VGA接口。不同的显示模式对应的VGA时序中的时间参数不同,

选定一种显示模式后,就要配置LCD控制器,使其产生的时序参数符合VGA模式的要求,这样才能成功驱动VGA接口,否则VGA显示端会闪烁、模糊甚至不显示。

在这里选择分辨率为640x480、刷新频率为60 Hz、16位彩色的VGA显示模式,并在此模式下完成对LCD控制器相关寄存器的配置。使LCD控制器输出的时序逻辑能符合该模式下VGA显示的要求。在该模式下VGA接口同步信号时序如图4所示。

图4 VGA接口同步信号时序

下面根据图4的VGA接口同步信号时序对主要的LCD控制器中的控制寄存器进行配置:

1)LCDCON1寄存器

CLKVAL:确定VCLK频率的参数。公式为VCLK-HCLK/[(CLKVAL+1)x2]。在本设计中S3C2440的HCLK=100 MHz,显示屏需要VCLK=20MHz,故需设置CLKVAL=1.

BPPMODE:确定BPP(每像素位散)。选择BPPMODE=0xC,即选择TFT 16位模式。

2)LCDCON2寄存器

VBPD:确定帧同步信号和帧数据传输前的时延,是帧数据传输前延迟时间和行同步时钟间隔宽度的比值,参照图4中的时间数据可知,VBPD=t3/t6=1.02 ms/31.77 μs=32.

LINEVAL:确定显示的垂直方向大小。公式:LINEVAL=YSIZE-1=479.

VFPD:确定帧数据传输完成后到下一帧同步信号到来的一段延时,是帧数据传输后延迟时间和行同步时钟间隔宽度的比值,参照图4中的时间数据可知,VFPD=t5/t6=0.35 ms/31.77μs=11.

VSPW:确定帧同步时钟脉冲宽度,是帧同步信号时钟宽度和行同步时钟间隔宽度的比值。如图4,VSPW=t2/t6=0.06 ms/31.77 μs=2.

3)LCDCON3寄存器

HBPD:确定行同步信号和行数据传输前的一段延时,描述行数据传输前延迟时间内VCLK脉冲个数,如图4,VBPD=t7xVCLK=1.89 μsx25 MHz=47.

HOZAL:确定显示的水平方向尺寸。这里HOZAL=XSIZE-1=639.

HFPD:确定行数据传输完成后到下一行同步信号到来的一段延迟时间,描述行数据传输后延迟时间内VCLK脉冲个数,如图4,HFPD=t9xVC LK=0.94 μsx25 MHz=24.

4)LCDCON4寄存器

HSPW:确定行同步时钟脉冲宽度。描述行同步脉冲宽度时间内VCLK脉冲个数,如图4,HSPW=3.77 μsx25 MHz=94.

5)LCDCON5寄存器

BPP24BL:确定数据存储格式。此处设置BPP24BL=0x0,即选择小端模式存放FRM565:确定16位数据输出格式。设置FRM565=0x1,即选择5:6:5的输出格式。

通过如上的方式设计VGA接口电路并相应的设置LCD控制器寄存器,实现了LCD数字输出与D/A转换的无缝连接,不需要任何额外的驱动程序就可以将原来在LCD上输出的图像信息输出到VGA显示屏上。

4 测试与结论

本设计通过分析VGA接口时序与S3C2440TFT LCD接口时序的相同点,论证了用S3C2440自带的LCD controler来驱动VGA显示器的可行性,时序的匹配是本设计成功最关键的地方,在满足接口时序要求的前提下,用高速三路8位视频D/A芯片将LCD接口的数字RGB信号转换成VGA接口所需要的模拟信号。实验证明,图像信息通过VGA转换电路,在显示屏上显示良好,无明显抖动,满足普通的显示要求。由于主机采用ARM嵌入式微处理器,与传统X86主机相比,大大降低了整机系统的成本。这种廉价、简单的显示方案可以广泛应用到各种对显示效果要求不高但要求大尺寸屏幕的场合.

计算机组成原理CPU设计

1 CPU的用途 字长:8位D[7…0] 寻址围:64byte,2的6次方=64,A[5…0] 2 确定ISA(包括程序员可访问的寄存器) 1)程序员可访问的寄存器AC—8位累加器 3 CPU设计状态图 为了确定CPU的状态图,对每条指令作以下分析 1)从存贮器中取指令(所有指令均相同) 原理:在CPU能执行指令之前,它必须从存贮器中取出,CPU通过执行如下的操作序列完成这个任务 A)选择存贮单元由A[5…0]确定 B)对工A[5…0]译码,延迟,并向存贮器发一个信号使存贮器将此指令输出到它的输出引脚。这些引脚与CPU的D[7…0]相连。CPU从这些引脚读 入数据。 具体操作:(分为三个状态) A)要取的指令的地址存放在程序计数器(PC)中。第一步就是把PC的容拷贝到AR中。 FETCH1:AR←PC B)CPU必须从存贮器中读取指令,为此CPU必须发一个READ信号到器的RD(RD-RAM,相对于OE-ROM)端上使存贮器将数据发送到D[7…0]上,存入 CPU的DR寄存器中。同时实现PC←PC+1,为取下一条指令作准备。 FETCH2:DR←M,PC←PC+1 C)作为取指令的一部分,CPU还必须完成两件事。 ①DR的高2位拷贝到IR,目的是确定指令的功能 ②DR的低6位拷贝到AR,目的: a. 对于ORT和SUB1指令这6 位包含了指令的一个操作数的存贮器 地址(一个数已经在AC) b. 对于COM和JREL,它们不需要再次访问存贮器,一旦它们返回

到FETCH1周期,FETCH1将把PC的值装到AR,覆盖无用的值。 FETCH3:IR←DR[7,6], AR←DR[5…0] 取指令周期的状态图 2)指令译码(每条指令的操作码都是唯一的) 本CPU有四条指令,因此有四个不同的执行同期,为此用IR中的值来确定即可。 3)指令执行(每条指令的执行周期都是一样的) 每条指令的执行周期的状态分析: 1.COM指令 功能是对AC的容取反,执行周期的状态是 COM1:AC←AC’ 2. JREL指令 代码为01AAAAAA,即转移的相对地址由AAAAAA确定,而AAAAAA在 DR[5…0]中,所以有 JREL1:PC←PC+ DR[5…0] 3.OR指令 为了执行指令,必须完成两件事情 OR1:DR←M;从存贮器取出一个操作数送到数据寄存器 OR2:AC←AC∨DR;与AC相或,并把结果存回AC中 4. SUB1指令 为了执行指令,必须完成两件事情

浅谈几种常见的嵌入式处理器比较分析

浅谈几种常见的嵌入式处理器比较分析 前言 随着电子科学的不断发展,人们开始逐渐对数码产品有了更高的需求,这就促使了信息技术的不断发展。嵌入式系统的核心就是嵌入式处理器,它是控制、辅助嵌入式系统运行的硬件单元,其应用范围非常的广阔,它也具有很好的发展前景。那么,面对纷繁复杂的嵌入式处理器市场,我们该如何做出适合自己的选择呢?下面小编就对市场上常见的几种嵌入式处理器进行比较分析,希望可以对大家有所帮助(嵌入式处理器类型)。 (1)嵌入式ARM微处理器(嵌入式微处理器结构) ARM微处理器的由来与发展 ARM(Advanced RISC Machines),既可以认为是一个公司的名字,也可以认为是对一类微处理器的通称,还可以认为是一种技术的名字。目前,采用ARM技术知识产权(IP)核的微处理器,即我们通常所说的ARM微处理器。它是一种高性能、低功耗的32位微处器,它被广泛应用于嵌入式系统中。基于ARM技术的微处理器应用约占据了32位RISC微处理器75%以上的市场份额,ARM技术正在逐步渗入到我们生活的各个方面。ARM9代表了ARM公司主流的处理器,已经在手持电话、机顶盒、数码像机、GPS、个人数字助理以及因特网设备等方面有了广泛的应用。 ARM微处理器的应用领域 ARM微处理器是目前应用领域非常广的处理器,到目前为止,ARM微处理器及技术的应用几乎已经遍及工业控制、消费类电子产品、通信系统、网络系统、无线系统等各类产品市场,深入到各个领域。 1、工业控制领域:作为32的RISC架构,基于ARM核的微控制器芯片不但占据了高端微控制器市场的大部分市场份额,同时也逐渐向低端微控制器应用领域扩展,ARM微控制器的低功耗、高性价比,向传统的8位/16位微控制器提出了挑战。 2、无线通讯领域:目前已有超过85%的无线通讯设备采用了ARM技术,ARM以其高性能和低成本,在该领域的地位日益巩固。 3、网络应用:随着宽带技术的推广,采用ARM技术的ADSL芯片正逐步获得竞争优势。此外,ARM在语音及视频处理上行了优化,并获得广泛支持,也对DSP的应用领域提出了挑战。 4、消费类电子产品:ARM技术在目前流行的数字音频播放器、数字机顶盒和游戏机中得到广泛采用。 5、成像和安全产品:现在流行的数码相机和打印机中绝大部分采用ARM技术。手机中的32位SIM智能卡也采用了ARM技术。 基于RISC架构的ARM微处理器的特点 1、体积小、低功耗、低成本、高性能; 2、支持Thumb(16位)/ARM(32位)双指令集,能很好的兼容8位/16位器件; 3、大量使用寄存器,指令执行速度更快;

嵌入式微处理器系统读书报告

《嵌入式微处理器系统》专题读书报告 姓名:全妤

1、引言 随着医疗电子、智能家居、物流管理和电力控制等方面的不断风靡,嵌入式系统利用自身积累的底蕴经验,重视和把握这个机会,想办法在已经成熟的平台和产品基础上与应用传感单元的结合,扩展物联和感知的支持能力,发掘某种领域物联网应用。作为物联网重要技术组成的嵌入式系统,嵌入式系统的视角有助于深刻地、全面地理解物联网的本质。 2、嵌入式系统的概念 嵌入式系统被定义为以应用为中心、计算机技术为基础、软件硬件可裁剪、适应应用系统对功能、可靠性、成本、体积功耗严格要求的专用计算机系统。 2.1嵌入式系统的组成 一个嵌入式系统装置一般都由嵌入式计算机系统和执行装置组成。嵌入式计算机系统是整个嵌入式系统的核心,由硬件层、中间层、系统软件层和应用软件层组成。执行装置也称为被控对象,它可以接受嵌入式计算机系统发出的控制命令,执行所规定的操作或任务。 2.1.1 硬件层 硬件层中包含嵌入式微处理器、存储器(SDRAM、ROM、Flash等)、通用设备接口和I/O接口(A/D、D/A、I/O等)。

在一片嵌入式处理器基础上添加电源电路、时钟电路和存储器电路,就构成了一个嵌入式核心控制模块。其中操作系统和应用程序都可以固化在ROM中。 1)嵌入式微处理器 嵌入式系统硬件层的核心是嵌入式微处理器,嵌入式微处理器与通用CPU最大的不同在于嵌入式微处理器大多工作在为特定用户群所专用设计的系统中,它将通用CPU许多由板卡完成的任务集成在芯片内部,从而有利于嵌入式系统在设计时趋于小型化,同时还具有很高的效率和可靠性。 2)存储器 嵌入式系统需要存储器来存放和执行代码。嵌入式系统的存储器包含Cache、主存和辅助存储器。 3)通用设备接口和I/O接口 嵌入式系统和外界交互需要一定形式的通用设备接口,如A/D、D/A、I/O等,外设通过和片外其他设备的或传感器的连接来实现微处理器的输入/输出功能。每个外设通常都只有单一的功能,它可以在芯片外也可以内置芯片中。外设的种类很多,可从一个简单的串行通信设备到非常复杂的802.11无线设备。

精简8位cpu设计报告

精简8位cpu实验设计报告 实验介绍: 实验分为两个部分,第一部分为16*8 ROM 设计与仿真 第二部分为SAP-1 设计与仿真 实验流程: ①16*8 ROM 的设计与仿真 Rom16_8.VHDL LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ROM16_8 is PORT( DATAOUT :OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --Data Output ADDR :IN STD_LOGIC_VECTOR(3 DOWNTO 0); --ADDRESS CE :IN STD_LOGIC --Chip Enable ); END ROM16_8; ARCHITECTURE a OF ROM 16_8 IS BEGIN DATA<=“00001001”WHEN ADDR=“0000”AND CE=‘0’--LDA 9H “00011010”WHEN ADDR=“0001”AND CE=‘0’ELSE --ADD AH “00011011”WHEN ADDR=“0010”AND CE=‘0’ELSE --ADD BH “00101100”WHEN ADDR=“0011”AND CE=‘0’ELSE --SUB CH “11100000”WHEN ADDR=“0100”AND CE=‘0’ELSE --OUT “11110000”WHEN ADDR=“0101”AND CE=‘0’ELSE --HLT “00010000”WHEN ADDR=“1001”AND CE=‘0’ELSE “00010100”WHEN ADDR=“1010”AND CE=‘0’ELSE “00011000”WHEN ADDR=“1011”AND CE=‘0’ELSE

微处理器系统与嵌入式系统1—7章最全答案合集

“微处理器系统原理与嵌入式系统设计”第一章习题解答 1.1 什么是程序存储式计算机? 程序存储式计算机指采用存储程序原理工作的计算机。 存储程序原理又称“·诺依曼原理”,其核心思想包括: ●程序由指令组成,并和数据一起存放在存储器中; ●计算机启动后,能自动地按照程序指令的逻辑顺序逐条把指令从存储器中 读出来,自动完成由程序所描述的处理工作。 1.2 通用计算机的几个主要部件是什么? ●主机(CPU、主板、存); ●外设(硬盘/光驱、显示器/显卡、键盘/鼠标、声卡/音箱); 1.3 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.4 阐述摩尔定律。 每18个月,芯片的晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 讨论:摩尔定律有什么限制,可以使用哪些方式克服这些限制?摩尔定律还会持续多久?在摩尔定律之后电路将如何演化? 摩尔定律不能逾越的四个鸿沟:基本大小的限制、散热、电流泄露、热噪。具体问题如:晶体管体积继续缩小的物理极限,高主频导致的高温…… 解决办法:采用纳米材料、变相材料等取代硅、光学互联、3D、加速器技术、多核…… (为了降低功耗与制造成本,深度集成仍是目前半导体行业努力的方向,但这不可能永无止,因为工艺再先进也不可能将半导体做的比原子更小。用作绝缘材料的二氧化硅,已逼近极限,如继续缩小将导致漏电、散热等物理瓶颈,数量集成趋势终有终结的一天。一旦芯片上线条宽度达到纳米数量级时,相当于只有几个分子的大小,这种情况下材料的物理、化学性能将发生质的变化,致使采用现行工艺的半导体器件不能正常工作,摩尔定律也就要走到它的尽头了。业界专家预计,芯片性能的增长速度将在今后几年趋缓,一般认为摩尔定律能再适用10年左右,其制约的因素一是技术,二是经济。)

8位CPU的设计与实现

实验题目 8位CPU的系统设计学号 1115106046 姓名魏忠淋 班级 11电子B 班 指导老师凌朝东

华侨大学电子工程系 8位CPU的系统设计 一、实验要求与任务 完成从指令系统到CPU的设计,编写测试程序,通过运行测试程序对CPU设计进行正确性评定。具体内容包括:典型指令系统(包括运算类、转移类、访存类)设计;CPU结构设计;规则文件与调试程序设计;CPU调试及测试程序运行。 1.1设计指标 能实现加减法、左右移位、逻辑运算、数据存取、有无条件跳转、内存访问等指令; 1.2设计要求 画出电路原理图、仿真波形图; 二、CPU的组成结构

三、元器件的选择 1.运算部件(ALU) ALU181的程序代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ALU181 IS PORT ( S : IN STD_LOGIC_VECTOR(3 DOWNTO 0 ); A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); F : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); COUT : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); M : IN STD_LOGIC; CN : IN STD_LOGIC; CO,FZ: OUT STD_LOGIC ); END ALU181; ARCHITECTURE behav OF ALU181 IS SIGNAL A9 : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL B9 : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL F9 : STD_LOGIC_VECTOR(8 DOWNTO 0); BEGIN A9 <= '0' & A ; B9 <= '0' & B ;

嵌入式微处理器结构与应用

《嵌入式微处理器结构与应用》 实训报告 专业:电子信息工程 学生姓名: 学号 指导教师:

交通灯控制系统 1 整体设计 1.1 设计要求 利用arm9实验箱扩展口控制各个路口红绿灯及时间显示,设计一个交通灯控制系统。 1.1.1设计任务 设计一个十字路口的交通灯,它的红灯,绿灯,黄灯的闪烁必须符合交通规则,再用一个数码管来显示倒计时的时间,此时,灯的闪烁必须与数码管上的时间相对应。 1.1.2性能指标要求 (1) 按照题目要求独立设计系统所需电路,并完成电路的实际制作。 (2) 在十字交叉路口,东南西北各方向都设置红、黄、绿色信号灯,红灯亮表示禁止通行,绿灯亮表示可以通行,红灯灭之前3秒钟黄灯开始闪烁直到绿灯亮起后黄灯熄灭。其中东西方向为主干道,南北方向为次干道,设置一位数码管,用来显示红灯和绿灯倒计时间,东西方向时间一致,南北方向时间一致。 (3)开机时主干道为9秒倒计时,次干道为6秒倒计时。 (4)单独设计人行道指示灯标志,当禁止行走时为红灯,当可以横穿马路时,绿灯亮起,在通行之前3秒钟黄灯开始闪烁(以警示行人),最终红灯亮起绿灯熄灭。 (5)使用51单片机完成与arm9实验箱的连接,单片机模块只是完成通信与显示功能。所以的控制只能在arm 中实现(既断开接口连接,显示相关功能无效)。 1.2 整机实现的基本原理及框图 1.2.1 基本原理 主体电路:其分为两部分,一是由ARM9发送控制信号模块,二是由单片机完成通信与显示模块。ARM9发送控制信号模块主要由S3C2410A 的UART 专用寄存器完成串口通南 北 西 东 数码管 数码管

信,已达到发送控制信号的目的,指示单片机的交通状态是东西方向亮绿灯还是南北方向和数码管的显示。单片机完成通信与显示模块主要由AT89S52单片机的I/O 端口、定时计数器、外部中断扩展等组成,负责解读arm9试验箱发送来的数据,并把根据解读的数据控制交通灯的亮灭和数码管的显示。 1.2.2 总体框图 2 各功能电路实现原理及电路设计 2.1 交通灯显示部分 此模块是应用的16盏LED 灯,连接到51单片机的P1口,通过给P1口的管教赋值0/1,来实现16盏LED 灯的亮灭。 ARM 实验箱 发送 控制 指令 单片机系统 LED 灯显示交通状态 数码管显示倒计时时间

嵌入式微处理器未来市场趋势

嵌入式微處理器未來市場趨勢 CPU的架構大致上可分為CISC CPU & RISC CPU。 CISC CPU適用於大量資料運算的應用(INTEL、AMD、VIA的x86 CPU)。 RISC CPU所強調的是執行的效率與省電的要求(ARM、MIPS、ARC …)。 不論是CISC或是RISC CPU,都可以依據CPU內部處理資料匯流排的寬度,可區分成8位元、16位元、32位元與64位元等四種。根據In-Stat的統計,成長最快的是64位元嵌入式CPU,主要應用在STB、DTV與電視遊戲機等需要大量資料處理的產品。 8至64位元主要產品中所使用嵌入式CPU種類 全球的嵌入式CPU供應商第一大廠商是ARM,排名第二是MIPS。但兩家的產品定位並不完全相同。 ARM的CPU會強調省電應用;MIPS則主打高效能的產品。 因此在過去強調省電訴求的行動電話是嵌入式產品最大應用產品情況下,ARM 的營收皆優於MIPS。MIPS已逐漸淡出16位元CPU的市場,而專注於32位元以上的CPU。ARM與其最大競爭對手MIPS的差異處在於,以交易機制來分析,一般而言,ARM的授權金比重較高,而MIPS則收取比例較高的權利金。 早期台灣廠商CPU或MCU相關技術可區分成三類,8051架構、6502架構與自行研發等三種。INTEL的8051與Motorola的6502都是8位元的架構,初期都是由工研院所授權獲得,並推廣至國內業者。另外自行研發的也不在少數,例如凌陽、盛群、金麗或十速等公司,但都是32位元以下的架構。

嵌入式微處理(CPU)器與微控制器(MCU) 微處理器強調運算效能,而微控制器著重控制功能。 在SoC整合趨勢下,嵌入式微處理器加上記憶體、邏輯與I/O等IP將構成強大效能的微控制器;而增強位元數後的微控制器亦具有MPU的強大處理功能。 微處理器若以應用產品的軟體平台來區分,可分成特定應用型與泛用型兩種。特定應用型: 操作軟體大致是依據終端產品所需的功能加以設計,其最大特色是封閉的操作環境,終端產品的使用者大致上不需了解軟體的構造,也不能修改其操作功能,應用產品有印表機、數位相機、車用設備與遊戲機等,這類型產品通常較簡單其穩定性也要求較高。 泛用型: 如簡易的電腦一樣,有著相似而共通的作業系統,主要應用在PDA、Smart Phone、STB(視訊轉換器)、Thin Client等。此類產品因具有資訊交換的功能,其作業系統較複雜,相容性的要求也較高。 微控制器主要是負責系統產品中控制功能的IC元件。目前電子產品朝向輕薄短小、功能強大、價格低廉等目標發展,加上開發時程日益縮短,微控制器具有整合諸多功能於一身的特性,不但節省開發時間,在降低體積與成本上也有相當大的助益。 微控制器因有下列優點: 1.低價 2.較小的程式碼 3.可使用C語言編譯,開發更容易 4.耗電量較低 5.最高的效能與價格比 16位元以上的微控制器主要應用在通訊(如ISDN、USB等)、車用與工業等項目;由於需要符合工業規格,必須認證後才能出貨,技術層次較高。 隨著系統產品功能的多樣化,人機介面必須具有親和力…等,微控制器的效能亦不斷要求提升,近年來32/64位元微控制器成長率有越來越高的趨勢。

8位CPU的设计与实现

计算机组成原理 实验题目8位CPU得系统设计 学号1115106046 姓名魏忠淋 班级 11电子B 班 指导老师凌朝东 华侨大学电子工程系 8位CPU得系统设计 一、实验要求与任务 完成从指令系统到CPU得设计,编写测试程序,通过运行测试程序对CPU设计进行正确性评定。具体内容包括:典型指令系统(包括运算类、转移类、访存类)设计;CPU结构设计;规则文件与调试程序设计;CPU调试及测试程序运行。 1。1设计指标 能实现加减法、左右移位、逻辑运算、数据存取、有无条件跳转、内存访问等指令; 1、2设计要求 画出电路原理图、仿真波形图;

二、CPU得组成结构 三、元器件得选择 1.运算部件(ALU) ALU181得程序代码: LIBRARY IEEE; USEIEEE、STD_LOGIC_1164。ALL; USEIEEE、STD_LOGIC_UNSIGNED.ALL; ENTITY ALU181 IS PORT( S: IN STD_LOGIC_VECTOR(3 DOWNTO0 ); A:IN STD_LOGIC_VECTOR(7 DOWNTO0); B: INSTD_LOGIC_VECTOR(7DOWNTO 0); F : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); ?COUT:OUTSTD_LOGIC_VECTOR(3 DOWNTO0); M :INSTD_LOGIC; CN : IN STD_LOGIC; CO,FZ:OUT STD_LOGIC ); END ALU181; ARCHITECTURE behav OF ALU181 IS SIGNALA9 :STD_LOGIC_VECTOR(8DOWNTO 0); SIGNAL B9 : STD_LOGIC_VECTOR(8 DOWNTO0); SIGNALF9: STD_LOGIC_VECTOR(8 DOWNTO0); BEGIN A9<= '0'& A; B9 <= ’0’&B;

Intel XScale(TM) 嵌入式微处理器简介

Intel XScale?嵌入式微处理器简介 Intel Xscale内核是和ARM? Architecture V5TE结构兼容的微处理器。Intel? XScale?core内核集成了多种微结构的特点,从而能够完成更过的性能要求。这样用户可以根据自己的需求进行配置,实现自己特定的功能。Intel? XScale?的这些微结构很多应用在存储器当中,主要包括: ?当数据缓冲从外部存储器获取数据是,仍然能够执行指令; ?写缓冲; ?写回数据缓冲(Write-back data cache) ?缓冲锁定(Cache locking) ?可配置的缓冲方式(X Bit, C Bit for Cacheable, B Bit for Bufferable) Intel Xscale内核的上述特点,使它能够有效的处理语音信号,乘法累加操作还可以完成多种语音和多媒体CODEC算法。 特点改进的性能 Intel? 超级流水线技术 7-stage integer/8-stage存储器超级流水线内核获得更高的速度 和较低的功耗  Intel?动态电压管理 动态电压和频率允许应用系统对性能和功耗进行合理的折衷  Intel? Media处理技术 多累加协处理器同时完成两个16-bit SIMD 乘法(带40-bit累 加),有效的媒体处理;  电源管理单元 通过idle、 sleep、和快速wake-up模式,降低功耗  128-entry Branch Target Buffer  使流水线载有分支支零时仍能够保持正确  32 KB Instruction Cache 保持重要指令,提高系统性能,降低系统功耗  32 KB Data Cache 保持重要数据,提高系统性能,降低系统功耗  2 KB Mini-Data Cache 在频繁改变数据流时,避免"thrashing" of the D-Cache 32-entry 程序存储器管理 单元  使能逻辑到物理地址变换、访问允许和I-Cache attributes 32-entry数据存储器管理 单元  使能逻辑到物理地址变换、访问允许和D-Cache attributes  4-entry Fill and Pend Buffers 通过允许数据缓冲的non-blocking和"hit-under-miss"操作,提高内和效率。  性能监测单元 完成两个32-bit event counters和一个32-bit cycle counter for analysis of hit rates, etc.  Debug调试单元 采用硬件断点和256-entry跟踪缓冲调试程序  32-bit Coprocessor Interface 在内核和协处理器间提供高性能的接口  64-bit内和存储器总线,同时32-bit输入和32-bit输出 Gives up to 4.8 GBytes/sec. @ 600 MHz bandwidth for internal accesses 8-entry Write Buffer 当数据写入到存储器是,允许内和继续执行。  ARM兼容性 1

嵌入式微处理器与操作系统_华中师范大学20年春季考试题库及答案

[试题分类]:嵌入式微处理器与操作系统Z_82411005 [题型]:单选 [大题名称]:单项选择题 [题目数量]:60 [分数]:2 1.Makefile文件预定定义变量$^表示()。 A.目标文件的完整名称 B.所有不重复的依赖文件,以空格隔开 C.第一个依赖文件的名称 D.第二个依赖文件的名称 [答案]:B [一级属性]: [二级属性]: [难度]: [公开度]: 2.如果生成通用计算机上(系统是Linux操作系统)能够执行的程序,则使用的C编译是()。 A.TC B.VC C.GCC D.arm-linux-gcc [答案]:C [一级属性]: [二级属性]: [难度]: [公开度]: 3.创建根文件系统映像文件使用的工具是()。 A.BusyBox B.cramfs C.make D.vi [答案]:A [一级属性]: [二级属性]: [难度]: [公开度]: 4.S3C2410X系统的存储空间分成()组(bank)。 A.2 B.4 C.8 D.16 [答案]:C

[一级属性]: [二级属性]: [难度]: [公开度]: 5.GDB软件是()。 A.调试器 B.编译器 C.文本编译器 D.连接器 [答案]:A [一级属性]: [二级属性]: [难度]: [公开度]: 6.嵌入式系统和通用计算机相比,描述不正确的是()? A.专用性强 B.实时性好 C.可裁剪性好 D.功耗高 [答案]:D [一级属性]: [二级属性]: [难度]: [公开度]: 7.ARM9使用几级流水线。 A.2 B.3 C.5 D.7 [答案]:C [一级属性]: [二级属性]: [难度]: [公开度]: 8.ARM公司主要依靠()获得利润。 A.生产芯片 B.销售芯片 C.制定标准 D.出售芯片技术授权 [答案]:D

8位CPU的设计与实现

计算机组成原理 CPU 实验题目 8位的系统设计1115106046 号学 魏忠淋姓名 B 11电子班班级凌朝东指导老师

华侨大学电子工程系 8位CPU的系统设计 一、实验要求与任务 完成从指令系统到CPU的设计,编写测试程序,通过运行测试程序对CPU设计进行正确性评定。具体内容包括:典型指令系统(包括运算类、转移类、访存类)设计;CPU结构设计;规则文件与调试程序设计;CPU调试及测试程序运行。 1.1设计指标 能实现加减法、左右移位、逻辑运算、数据存取、有无条件跳转、内存访问等指令; 1.2设计要求 画出电路原理图、仿真波形图; 二、CPU的组成结构 三、元器件的选择 1.运算部件(ALU) ALU181的程序代码: LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ALU181 IS PORT ( S : IN STD_LOGIC_VECTOR(3 DOWNTO 0 ); A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); F : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); COUT : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); M : IN STD_LOGIC; CN : IN STD_LOGIC; CO,FZ: OUT STD_LOGIC ); END ALU181; ARCHITECTURE behav OF ALU181 IS SIGNAL A9 : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL B9 : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL F9 : STD_LOGIC_VECTOR(8 DOWNTO 0); BEGIN B9 <= '0' & B ; A9 <= '0' & A ; PROCESS(M,CN,A9,B9) BEGIN CASE S IS WHEN ぜ?尰=> IF M='0' THEN F9<=A9 + CN ; ELSE F9<=NOT A9; END IF; WHEN IF M='0' THEN F9<=(A9 or B9) + CN ; ELSE F9<=NOT(A9 OR B9); END IF; WHEN 0 => IF M='0' THEN F9<=(A9 or (NOT B9))+ CN ; ELSE F9<=(NOT A9) AND B9; END IF; WHEN 1 => IF M='0' THEN F9<= ; ELSE F9<= END IF; WHEN 0 => IF M='0' THEN F9<=A9+(A9 AND NOT B9)+ CN ; ELSE F9<=NOT (A9 AND B9); END IF; WHEN 1 => IF M='0' THEN F9<=(A9 or B9)+(A9 AND NOT B9)+CN ; ELSE F9<=NOT B9; END IF; WHEN 0 => IF M='0' THEN F9<=(A9 - B9) - CN ; ELSE F9<=A9 XOR B9; END IF; WHEN 1 => IF M='0' THEN F9<=(A9 or (NOT B9)) - CN ; ELSE F9<=A9 and (NOT B9); END IF; WHEN @0 => IF M='0' THEN F9<=A9 + (A9 AND B9)+CN ; ELSE F9<=(NOT A9)and B9; END IF; WHEN @1 => IF M='0' THEN F9<=A9 + B9 + CN ; ELSE F9<=NOT(A9 XOR B9); END IF; WHEN A0 => IF M='0' THEN F9<=(A9 or(NOT B9))+(A9 AND B9)+CN ;

嵌入式微处理器的分类与特点

1.2.1 嵌入式处理器的分类与特点 1.嵌入式微处理器的分类 嵌入式系统的核心部件是嵌入式处理器,一般把嵌入式处理器分成4类,即嵌入式微控制器、嵌入式微处理器、嵌入式DSP处理器和嵌入式片上系统。 (1)嵌入式微控制器(MicroController(微控制器) Unit MCU的典型代表是单片机,它将整个计算机系统集成到一块芯片中。MCU一般以某种微处理器内核为核心,根据某些典型的应用,在芯片内部集成了ROM/EPROM、RAM、总线、总线逻辑、定时/计数器、看门狗、I/O、串行口、脉宽调制输出、A/D、D/A、FLASH RAM、EEPROM等各种必要功能部件和外设。为适应不同的应用需求,对功能的设置和外设的配置进行必要的修改和裁减定制,使得一个系列的单片机具有多种衍生产品,每种衍生产品的处理器内核都相同,不同的是存储器和外设的配置及功能的设置。这样可以使单片机最大限度地和应用需求相匹配,从而减少整个系统的功耗和成本。和嵌入式微处理器相比,微控制器的单片化使应用系统的体积大大减小,从而使功耗和成本大幅度下降、可靠性提高。由于MCU目前在产品的品种和数量上是所有种类嵌入式处理器中最多的,而且上述诸多优点决定了微控制器是嵌入式系统应用的主流。微控制器的片上外设资源一般比较丰富,适合于控制,因此称为微控制器。 通常,MCU可分为通用和半通用两类,比较有代表性的通用系列包括8051、P51XA、MCS-251、MCS-96/196/296、C166/167、68300等。而比较有代表性的半通用系列,如支持USB 接口的MCU 8XC930/931、C540、C541;支持I2C、CAN总线、LCD等的众多专用MCU 和兼容系列。 (2)嵌入式微处理器(MicroProcessor Unit,MPU) MPU是由通用计算机中的CPU演变而来的。MPU采用增强型通用微处理器。由于嵌入式系统通常应用于环境比较恶劣的环境中,因而MPU在工作温度、电磁兼容性以及可靠性方面的要求较通用的标准微处理器高。但是,MPU在功能方面与标准的微处理器基本上是一样的。根据实际嵌入式应用要求,将MPU装配在专门设计的主板上,只保留和嵌入式应用有关的主板功能,这样可以大幅度减小系统的体积和功耗。 和工业控制计算机相比,MPU组成的系统具有体积小、重量轻、成本低、可靠性高的优点,但在其电路板上必须包括ROM、RAM、总线接口、各种外设等器件,从而降低了系统的可靠性,技术保密性也较差。由MPU及其存储器、总线、外设等安装在一块电路主板上构成一个通常所说的单板机系统。嵌入式处理器目前主要有AM186/88、386EX、SC-400、Power PC、68000、MPIS、ARM系列等。 (3)嵌入式数字信号处理器(Digital Signal Processor,DSP) DSP是专门用于信号处理方面的处理器,其在系统结构和指令算法方面进行了特殊设计,具有很高的编译效率和指令执行速度。 在数字信号处理应用中,各种数字信号处理算法很复杂,这些算法的复杂度可能是o (nm)的,甚至是NP的,一般结构的处理器无法实时的完成这些运算。由于DSP对系统结构和指令进行了特殊设计,使其适合于实时地进行数字信号处理。在数字滤波、fft、谱分析等方面,DSP算法正大量进入嵌入式领域,DSP应用正从在通用单片机中以普通指令实现DSP 功能,过渡到采用嵌入式DSP。 嵌入式DSP处理器有两类:(1)DSP处理器经过单片化、EMC改造、增加片上外设成为嵌入式DSP处理器,TI 的TMS320C2000/C5000 等属于此范畴。(2)在通用单片机或SOC 中增加DSP协处理器,例如Intel的MCS-296和infineon(siemens)的tricore。另外,在有关智

嵌入式处理器的主要特点

嵌入式处理器的主要特点 创易电子整理出品,创易更懂电子, https://www.360docs.net/doc/ad8512098.html,/ 全系列阻容感一本全掌控。 2.1嵌入式微处理器的优点 2.1.1 低功耗 2.1.2功能丰富 2.1.2其他 2.2嵌入式微处理器的特点 三常用处理器概况 3.1 处理器分类现状 3.1.1嵌入式微处理器(Embedded Microprocessor Unit, EMPU) 3.1.2 嵌入式微控制器(Microcontroller Unit, MCU) 3.1.3 嵌入式DSP处理器(Embedded Digital Signal Processor, EDSP) 3.1.4嵌入式片上系统(System On Chip) 3.2 处理器的主要参数 3.2.1主频 3.2 处理器的缓存 四处理器比较 4.1 嵌入式控制器和嵌入式处理器的比较 4.2 常见处理器简介及特点 4.2.1 ARM处理器 4.2.2 MIPS 4.2.3 Power PC 4.2.4 X86 4.2.5 DSP 4.3 应用领域 4.3.1 ARM 4.3.2 MIPS 4.3.3 PowerPC 4.3.4 X86 4.3.5 DSP 随着数字信息技术和网络技术高速发展,嵌入式系统已经广泛地渗透到科学研究、工程设计、军事技术、各类产业和商业文化艺术以及人们的日常生活等方方面面中。国内外各种嵌入式产品进一步开发和推广,嵌入式技术越来越和人们的生活紧密结合。嵌入式系统的核心部件是各种类型的嵌入式处理器,据不完全统计,目前全世界嵌入式处理器的品种总量已经超过1000多种,流行体系结构有30几个系列,其中8051体系的占有多半。生产8051单片机的半导体厂家有20多个,共350多种衍生产品,仅Philips就有近100种。现在几乎每个半导体制造商都生产嵌入式处理器,越来越多的公司有自己的处理器设计部门。嵌入式处理器的寻址空间一般从64KB到16-32MB,处理速度从O.IMIPS到2000MIPS, 常用封装从8个引脚到144个引脚。 嵌入式开发人员面临的主要挑战是如何选择一款最合适的处理器,既不会为了提高性能而超

计算机组成原理CPU设计

1C P U的用途 字长:8位 D[7…0] 寻址范围:64byte,2的6次方=64,A[5…0] 2 确定ISA(包括程序员可访问的寄存器) 1)程序员可访问的寄存器 AC—8位累加器 为了确定CPU的状态图,对每条指令作以下分析 1)从存贮器中取指令(所有指令均相同) 原理:在CPU能执行指令之前,它必须从存贮器中取出,CPU通过执行如下的操作序列完成这个任务 A)选择存贮单元由A[5…0]确定 B)对工A[5…0]译码,延迟,并向存贮器发一个信号使存贮器将此指令输出到它的输出引脚。这些引脚与CPU的D[7…0]相连。CPU从这些引脚读入数据。 具体操作:(分为三个状态) A)要取的指令的地址存放在程序计数器(PC)中。第一步就是把PC的内容拷贝到AR中。 FETCH1:AR←PC B)CPU必须从存贮器中读取指令,为此CPU必须发一个READ信号到器的RD (RD-RAM,相对于OE-ROM)端上使存贮器将数据发送到D[7…0]上,存入CPU的 DR寄存器中。同时实现PC←PC+1,为取下一条指令作准备。 FETCH2:DR←M,PC←PC+1 C)作为取指令的一部分,CPU还必须完成两件事。 ①DR的高2位拷贝到IR,目的是确定指令的功能 ②DR的低6位拷贝到AR,目的: a. 对于ORT和SUB1指令这6 位包含了指令的一个操作数的存贮器地址 (一个数已经在AC) b. 对于COM和JREL,它们不需要再次访问存贮器,一旦它们返回到 FETCH1周期,FETCH1将把PC的值装到AR,覆盖无用的值。 FETCH3:IR←DR[7,6], AR←DR[5…0] 取指令周期的状态图

嵌入式微处理器特点

嵌入式微处理器特点: 嵌入式微处理器一般就具备以下4个特点: (1)对实时多任务有很强的支持能力,能完成多任务并且有较短的中 断响应时间,从而使部的代码和实时核心的执行时间减少到最低限度。 (2)具有功能很强的存储区保护功能。这是由于嵌入式系统的软件结 构已模块化,而为了避免在软件模块之间出现错误的交叉作用,需要设计强 大的存储区保护功能,同时也有利于软件诊断。 (3)可扩展的处理器结构,以能最迅速地开展出满足应用的最高性能 的嵌入式微处理器。 (4)嵌入式微处理器必须功耗很低,尤其是用于便携式的无线及移动 的计算和通信设备中靠电池供电的嵌入式系统更是如此,如需要功耗只有 mW甚至μW级。 嵌入式系统概念: 一般来说,嵌入式系统是“执行专用功能并被部计算机控制的设备或者系统。嵌入式系统不能使用通用型计算机,而且运行的是固化的软件,用术语表示就是固件(firmware),终端用户很难或者不可能改变固件。” 嵌入式系统是以应用为中心,以计算机技术为基础,并且软硬件可裁剪,适用于应用系统对功能、可靠性、成本、体积、功耗有严格要求的专用计算机系统。它一般由嵌入式微处理器、外围硬件设备、嵌入式操作系统以及用户的应用程序等四个部分组成,用于实现对其他设备的控制、监视或管理等功能。嵌入式系统一般指非PC系统,它包括硬件和软件两部分。硬件包括处理器/微处理器、存储器及外设器件和I/O端口、图形控制器等。软件部分包括操作系统软件(OS)(要时和多任务操作)和应用程序编程。有时设计人员把这两种软件组合在一起。应用程序控制着系统的运作和行为;而操作系统控制着应用程序编程与硬件的交互作用。嵌入式系统的核心是嵌入式微处理器。

计算机组成原理CPU设计

1 CPU的用途 字长:8位 D[7…0] 寻址范围:64byte,2的6次方=64,A[5…0] 2 确定ISA(包括程序员可访问的寄存器) 1)程序员可访问的寄存器 AC—8位累加器 CPU的指令集(共4条) 2)其他寄存器 3 CPU设计状态图 为了确定CPU的状态图,对每条指令作以下分析 1)从存贮器中取指令(所有指令均相同) 原理:在CPU能执行指令之前,它必须从存贮器中取出,CPU通过执行如下的操作序列完成这个任务 A)选择存贮单元由A[5…0]确定 B)对工A[5…0]译码,延迟,并向存贮器发一个信号使存贮器将此指令输出到它的输出引脚。这些引脚与CPU的D[7…0]相连。CPU从这些引脚读入 数据。 具体操作:(分为三个状态)

A)要取的指令的地址存放在程序计数器(PC)中。第一步就是把PC的内容拷贝到AR中。 FETCH1:AR←PC B)CPU必须从存贮器中读取指令,为此CPU必须发一个READ信号到器的RD (RD-RAM,相对于OE-ROM)端上使存贮器将数据发送到D[7…0]上,存入CPU的DR寄存器中。同时实现PC←PC+1,为取下一条指令作准备。 FETCH2:DR←M,PC←PC+1 C)作为取指令的一部分,CPU还必须完成两件事。 ①DR的高2位拷贝到IR,目的是确定指令的功能 ②DR的低6位拷贝到AR,目的: a. 对于ORT和SUB1指令这6 位包含了指令的一个操作数的存贮器 地址(一个数已经在AC) b. 对于COM和JREL,它们不需要再次访问存贮器,一旦它们返回到 FETCH1周期,FETCH1将把PC的值装到AR,覆盖无用的值。 FETCH3:IR←DR[7,6], AR←DR[5…0] 取指令周期的状态图 2) 本CPU有四条指令,因此有四个不同的执行同期,为此用IR中的值来确定即可。

8位CPU设计与实现

计 算 机 组 成 原 理 论 文 姓名:某某 班级:计科一班学号:

8位CPU的设计与实现论文 CPU 的主要功能是执行指令,控制完成计算机的各项操作,包括运算操作、传送操作、输入/输出操作等。作为模型计算机设计,将重点放在寄存器组,采取较简单的组成模式,以尽量简洁的设计帮助读者掌握CPU 的基本原理。 此次设计CPU就是为了了解CPU运行的原理,从而完成从指令系统到CPU 的设计,并且通过仿真对CPU设计进行正确性评定。 关键词:CPU,设计指标,电路原理图,运算部件,寄存器组,模型 机指令系统,微命令序列,数据通路 1. 设计的任务与要求 1.1设计指标 1. 能实现IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、 JMP(无条件转移)这五种指令; 2. 整个系统能正常稳定工作。 1.2 设计要求 1. 画出电路原理图; 2.写出设计的全过程,附上有关资料和图纸(也可直接写在相关章节中), 有心得体会。 2. 方案论证与选择 2.1 CPU的系统方案

CPU 主要由算术逻辑单元ALU,数据暂存寄存器DR1、DR2,数据寄存器R0~R2,程序计数器PC,地址寄存器AR,程序/数据存储器MEMORAY,指令寄存器IR,微控制器uC,输入单元INPUT 和输出单元OUTPUT 所组成。图中虚线框内部分包括运算器、控制器、程序存储器、数据存储器和微程序存储器等,实测时,它们都可以在单片FPGA 中实现。虚线框外部分主要是输入/输出装置,包括键盘、数码管、LCD 显示器等,用于向CPU 输入数据,或CPU 向外输出数据,以及观察CPU 内部工作情况及运算结果。 1.运算部件 运算部件的任务是对操作数进行加工处理。主要由三部分组成: (1)输入逻辑。(2)算术/逻辑运算部件ALU。(3)输出逻辑 2.寄存器组 计算机工作时,CPU 需要处理大量的控制信息和数据信息。例如对指令信息进行译码,以便产生相应控制命令对操作数进行算术或逻辑运算加工,并且根据运算结果决定后续操作等。因此,在CPU 中需要设置若干寄存器,暂时存放这些信息。在模型CPU中,寄存器组由R0、R1、R2 所组成。 3.指令寄存器指令寄存器(IR) 指令寄存器指令寄存器(IR)用来存放当前正在执行的指令,它的输出包括操作码信息、地址信息等,是产生微命令的主要逻辑依据。 4.程序计数器程序计数器(PC) 程序计数器程序计数器也称指令指针,用来指示指令在存储器中的存放位置。当程序顺序执行时,每次从主存取出一条指令,PC 内容就增量计数,指向下一条指令的地址。增量值取决于现行指令所占的存储单元数。如果现行指令只占一个存储单元,则PC 内容加1;若现行指令占了两个存储单元,那么PC 内容就要加2。当程序需要转移时,将转移地址送入PC,使PC 指向新的指令地址。因此,当现行指令执行完,PC 中存放的总是后续指令的地址;将该地址送往主存的地址寄存器AR,便可从存储器读取下一条指令。 5.地址寄存器 CPU 访问存储器,首先要找到需要访问的存储单元,因此设置地址寄存器(AR)来存放被访单元的地址。当需要读取指令时,CPU 先将PC 的内容送入AR,再由AR将指令地址送往存储器。当需要读取或存放数据时,也要先将该数据的有效地址送入AR,再对存储器进行读写操作。 6.标志寄存器 标志寄存器F是用来记录现行程序的运行状态和指示程序的工作方式的,标志位则用来反映当前程序的执行状态。一条指令执行后,CPU 根据执行结果设置相应特征位,作为决定程序流向的判断依据。例如,当特征位的状态与转移条件符合时,程序就进行转移;如果不符合,则顺序执行。在后面将要介绍的较复杂模型计算机设计中设置了两个标志位:进位Fc、零位Fz。 7.微指令产生部件 实现信息传送要靠微命令的控制,因此在CPU 中设置微命令产生部 件,根据控制信息产生微命令序列,对指令功能所要求的数据传送进行控 制,同时在数据传送至运算部件时控制完成运算处理。 微命令产生部件可由若干组合逻辑电路组成,也可以由专门的存储逻辑组成。产生微命令的方式可分为组合逻辑控制方式和微程序控制方式两种。在本章所介绍的8 位模型CPU 设计中,采用微程序控制方式通过微程序控制器和微指令

相关文档
最新文档