数字电子技术期末模拟卷1答案教学内容

数字电子技术期末模拟卷1答案教学内容
数字电子技术期末模拟卷1答案教学内容

数字电子技术期末模拟卷1答案

系、班 姓 名 座 号

…………………密……………封……………线……………密……………封……………线…………………

《数字电子技术》课程考试题(1答卷)

( 年 月 日)

题 号

一 二 三 四 五 总分 复核人

得 分

评卷人

一、单项选择题(在每小题的备选答案中选出一个正确的答案,并将答案的号码填在括号内。每小题2分,共20分)

1、指出下列各种触发器中,哪些可以用来构成移位寄存器和计数器( 2 )。 (1)基本RS 触发器(2)边沿JK 触发器(3)同步RS 触发器(4)同步D 锁存器

2、构成一个9进制加法计数器共需( 4 )个触发器。 (1)3 (2)10 (3)2 (4)4

3、基本RS-FF 由二个与非门或者由二个或非门交叉构成,由二个与非门构成的基本RS-FF 输入端触发信号是( 1 )。 (1) 低电平 (2)高电平 (3)脉冲前边沿 (4)脉冲后边沿

4、用CMOS 门电路组成的单稳态电路,脉冲宽度Tw 由(1 )决定。 (1)R 、C (2)触发信号 (3)电源电压 (4)以上都是

5、用若干RAM 实现位扩展而组成多位的RAM 时,其方法是将下列选项中的

( 2 )以外的部件相应地并联在一起。 (1)地址线 (2)数据线(输出线) (3)片选信号线 (4)读/写线 6、在一个N 位计数器中,时钟信号到达时,各触发器的翻转有先有后,这种触

发器称为( 2 )。

(1)同步计数器 (2)异步计数器 (3)时空计数器 (4)移位寄存计数器 7.下列函数式中,是最小项之和形式的为( B )

8.和TTL 电路相比,CMOS 电路最突出的优势在于( D ) A .可靠性高; B .抗干扰能力强; C .速度快; D .功耗低。 9.可以将输出端直接并联实现“线与”逻辑的门电路是(D ) A .三态输出的门电路; B .推拉式输出结构的TTL 门电路; C .互补输出结构的CMOS 门电路; D .集电极开路输出的TTL 门电路。 10.在图1的TTL 门电路中,输出为高电平的是( D )

图1 二、填空题(每小题2分,共20分) 1、(11101001)2=( 35 )10=( 23 )

16

3 2、对边沿JK 触发器,若现态为0时,若要次态为1有K= 0 ,J= 1和

K= 1 ,J= 1 两种方法。 4 3、_触发_器是时序逻辑电路最基本的部件;_加法_器是最基本的算术运算部件。

1 4、 转换精度 和 转换速度 是A/D 、D/A 转换器的两个最重要的指标。

5、ROM 电路主要由 存储矩阵 、 地址译码器 、 输出缓冲器 三部分组成。

6、RS 触发器特性方程是: n n Q R S R S Q +=+1 )(0约束条件=RS 。

7、两个M 进制计数器,第一个高位接第二个的时钟端,第一个时钟端输入计数脉冲,此

计数器组成_M 2

_进制计数器。

8、若将D 触发器转换成T 触发器,则应令D= T Q n

⊕。 9、数值比较器的比较结果有:_大于__、_小于___、_等于_。 10、移位寄存器既能 _存贮__数据,又能完成_移位__功能。 三、简答题(每小题5分,共10分)

1、比较简单门电路、TTL 集成逻辑门电路、CMOS 门电路的优缺点。

答:1)TTL 集成逻辑门电路的输入级采用多发射极三级管、输出级采用达林顿结构,这不仅提高了门电路的开关速度,也使电路有较强的驱动负载的能力。 2)CMOS 门电路。与TTL 门电路相比,它的优点是功耗低,扇出数大,噪声容限大,开关速度与TTL 接近,已成为数字集成电路的发展方向。 2、多谐振荡器、单稳态触发器、双稳态触发器(普通触发器),各有几个暂稳态?各有几个能够自动保持的稳定状态?并说明每种电路的主要用途。 答:多谐振荡器:无稳态,有两个暂稳态;主要用于振荡信号的生产。 单稳态触发器:一个稳态,一个暂稳态;主要用于延时、定时、整形。 双稳态触发器:两个稳态;是时序电路的最基本单元电路,组成各种时序电路。

系、班 姓 名 座 号

…………………密……………封……………线……………密……………封……………线…………………

四、分析、设计和计算题(共40分)

1、组合电路如图2所示,写出图中所示逻辑图的逻辑函数表达式,并简要说明其逻辑功能。(8分)

图2

解:P=(ABC)' L=AP+BP+CP=(ABC)'(A +B +C ) (表达式3分),(真值表3分)

其逻辑功能是:当A 、B 、C 三个变量不一致时,电路输出为“1”,所以这个电路称为“不一致电路” (2分)

2、(8分)用卡诺图将下列两函数分别化简成为最简与或式。(Σm 为最小项之和。)

(1)F1(A ,B ,C ,D )=Σm (0,1,2,5,6,8,9,10,12,14) (2)

解:

每小题4分(卡诺图3分,表达式1分)

3、用74160构成的电路如图3所示,请指出该电路为几进制计数器?(74160是十进制计数器,具异步清零和同步置数功能。)(4分)

图3

解:该电路为5进制计数器.

4、如果要实现如下图4所示各TTL 门电路输出端所示的逻辑关系,请分析各电路输入端的连接是否正确?如果不正确,请予以改正。(6分)

图4

解:a)不正确,改正图如下图. (判断1分,改图1分) b) 不正确,改正图如下图. (判断1分,改图1分) c) 不正确,改正图如下图. (判断1分,改图1分)

第二

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

电力电子技术期末考试试题及答案(1)

电力电子技术试题 第 1 章电力电子器件 1.电力电子器件一般工作在 __开关__状态。 2.在通常情况下,电力电子器件功率损耗主要为 __通态损耗 __,而当器件开关频率较高时,功率损耗主要为 __开关损耗 __。 3.电力电子器件组成的系统,一般由 __控制电路 __、_驱动电路 _、 _主电路 _三部分组成,由于电路中存在电压和电流的过冲,往往需添加 _保护电路 __。 4.按内部电子和空穴两种载流子参与导电的情况,电力电子器件可分为 _单极型器件 _ 、 _双极型器件_ 、_复合型器件 _三类。 5.电力二极管的工作特性可概括为 _承受正向电压导通,承受反相电压截止 _。 6.电力二极管的主要类型有 _普通二极管 _、_快恢复二极管 _、 _肖特基二极管 _。 7. 肖特基二极管的开关损耗 _小于快恢复二极管的开关损耗。 8.晶闸管的基本工作特性可概括为__正向电压门极有触发则导通、反向电压则截止__ 。 9.对同一晶闸管,维持电流 IH与擎住电流 IL 在数值大小上有 IL__大于__IH 。 10.晶闸管断态不重复电压 UDSM与转折电压 Ubo数值大小上应为, UDSM_大于 __Ubo。 11.逆导晶闸管是将 _二极管_与晶闸管 _反并联_(如何连接)在同一管芯上的功率集成器件。 12.GTO的__多元集成 __结构是为了便于实现门极控制关断而设计的。 13.MOSFET的漏极伏安特性中的三个区域与GTR共发射极接法时的输出特性中的三个区域有对应关系,其中前者的截止区对应后者的 _截止区 _、前者的饱和区对应后者的 __放大区 __、前者的非饱和区对应后者的 _饱和区 __。 14.电力 MOSFET的通态电阻具有 __正 __温度系数。 15.IGBT 的开启电压 UGE(th )随温度升高而 _略有下降 __,开关速度 __小于__电力 MOSFET。 16.按照驱动电路加在电力电子器件控制端和公共端之间的性质,可将电力电子器件分为 _电压驱动型 _和_电流驱动型_两类。 17.IGBT的通态压降在 1/2 或1/3 额定电流以下区段具有 __负___温度系数,在1/2 或 1/3 额定电流以上区段具有 __正___温度系数。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.360docs.net/doc/ae3012103.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

电工电子技术期末考试试题及答案汇总

成绩统计表 专业班级____________ 考生姓名:____________ 学号_______ 请将选择题答案填入下表: 一.选择(20分、2分/题) 1.变压器降压使用时,能输出较大的____b_____。 A、功率 B、电流 C、电能 D、电功 2.三相异步电动机旋转磁场的旋转方向是由三相电源的________b_决定。 A、相位 B、相序 C、频率 D、相位角3.电气控制线路原理图中,触头的位置是处于______a___。A、未通电状态B、通电状态C、根据情况确定状态4.为保证机床操作者的安全,机床照明灯的电压应选____d_____。 A、380V B、220V C、110V D、36V以下5.关于提高功率因数的说法,正确的是( c ) A.在感性负载上并联电感可以提高功率因数 B.在感性负载上并联电容可以降低功率因数

C.在感性负载上并联电容可以提高功率因数 6.乙类互补对称式功放电路,其输出波形的交越失真是指( c )。A.频率失真B、相位失真C、波形过零时出现的失真D、幅度失真 7.稳压管的动态电阻(b )稳压性能越好。 A、越大 B、越小 C、较合适 D、不一定 8.运算放大器电路如图所示,该电路中反馈类型为( )。a (A) 串联电压负反馈(B) 串联电流负反馈 (C) 并联电压负反馈(D) 并联电流负反馈 ∞ 9.单稳态触发器的输出状态有(a) A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态 10.一个8选1多路选择器,输入地址有 c 。

A、2位 B、3位 C、4位 D、8位 二、计算题(70分) 1.已知图5所示电路中U S1=24V,U S2=6V,R1=12Ω,R2=6Ω,R3=2Ω,试用戴维宁定理求流过电阻R3中的电流I3。(10分) a I3 b 2.如图所示R-L串联电路,R=280Ω,R L=20Ω,L=1.65H,电源电压U=220V,电源频率为50H Z。(10分)

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电力电子技术期末考试试题及答案(史上最全)

电力电子技术试题 第1章电力电子器件 1.电力电子器件一般工作在__开关__状态。 2.在通常情况下,电力电子器件功率损耗主要为__通态损耗__,而当器件开关频率较高 时,功率损耗主要为__开关损耗__。 3.电力电子器件组成的系统,一般由__控制电路__、_驱动电路_、 _主电路_三部分组成, 由于电路中存在电压和电流的过冲,往往需添加_保护电路__。 4.按内部电子和空穴两种载流子参与导电的情况,电力电子器件可分为_单极型器件_ 、 _ 双极型器件_ 、_复合型器件_三类。 5.电力二极管的工作特性可概括为_承受正向电压导通,承受反相电压截止_。 6.电力二极管的主要类型有_普通二极管_、_快恢复二极管_、 _肖特基二极管_。 7.肖特基 二极管的开关损耗_小于_快恢复二极管的开关损耗。 8.晶闸管的基本工作特性可概括为 __正向电压门极有触发则导通、反向电压则截止__ 。 9.对同一晶闸管,维持电流IH与擎住电流IL在数值大小上有IL__大于__IH 。 10.晶闸管断态不重复电压UDSM与转折电压Ubo数值大小上应为,UDSM_大于__Ubo。 11.逆导晶闸管是将_二极管_与晶闸管_反并联_(如何连接)在同一管芯上的功率集成器件。的__多元集成__结构是为了便于实现门极控制关断而设计的。 的漏极伏安特性中的三个区域与GTR共发射极接法时的输出特性中的三个区域有对应关系,其中前者的截止区对应后者的_截止区_、前者的饱和区对应后者的__放大区__、前者的非饱和区对应后者的_饱和区__。 14.电力MOSFET的通态电阻具有__正__温度系数。 的开启电压UGE(th)随温度升高而_略有下降__,开关速度__小于__电力MOSFET 。 16.按照驱动电路加在电力电子器件控制端和公共端之间的性质,可将电力电子器件分为_电压驱动型_和_电流驱动型_两类。 的通态压降在1/2或1/3额定电流以下区段具有__负___温度系数,在1/2或1/3额定电流以上区段具有__正___温度系数。 18.在如下器件:电力二极管(Power Diode)、晶闸管(SCR)、门极可关断晶闸管(GTO)、电力晶体管(GTR)、电力场效应管(电力MOSFET)、绝缘栅双极型晶体管(IGBT)中,属

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

电子技术期末考试试卷及答案

2、射极输出器电路中,输出电压U o 与输入电压u i 之间的关系是( )。 (a ) 两者反相,输出电压大于输出电压 (b ) 两者同相,输出电压近似等于输入电压 (c ) 两者相位差90 ,且大小相等 3、为了放大变化缓慢的信号或直流信号,多级放大器级与级之间必须采 用( )。 (a ) 阻容耦合 (b ) 变压器耦合 (c ) 直接耦合 汁侶吗llTFF (咏宀、 方 亠z-r /咏宀\ 命题教帅(签字) 试做教师(签字) 系、室土任(签字) )匚记标修重 ................ 名姓 题号 -一- _ 二 _ 三 四 五 七 八 合计 满分 32 10 8 10 6 7六 10 12 丿八 12 100 实得分 评阅人 得分 、单项选择题:在下列各题中,将唯一正确的答案代码填 入括号内(本大题共16小题,总32分) 1、 电 路如图 所 示, 所有二极管 状 态为 ( )。 ⑻ D 1导 通,D 2、 D 3 截 止 (b) D 1、 D 2截止 D 3导通 (c) D 1、 D 3截止, D 2导 通 (d) D 1、 D 2、D 3均 截 止 均为理想元件,则D ,、D 2、D 3的工作 12V +6V J 1 ----------------- W D2 Ld D3 白R 0V D i

⑻L i、C i组成的电路 (b)L、C组成的电路 (c)L2、&组成的电路 +U cc 5、正弦波振荡器如图所示,为了获得频率可调的输出电压,则应该调节的电阻是()。 ⑻R i (b)R F(c)R U o 6、模拟电路中晶体管大多工作于()。 ⑻放大状态(b)开关状态(c)击穿状态 7、数字电路中的工作信号为()。 ⑻随时间连续变化的电信号(b)脉冲信号(c)直流信号 8、逻辑电路如图所示,分析图中C, J, K的波形。当初始状态为“ 0” 时,输出Q是“1”的瞬间为()。 ⑻t i (b) t2 (c) t3

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

电力电子技术期末考试试题及答案最新版本

电力电子技术试题
第 1 章 电力电子器件 1.电力电子器件一般工作在__开关__状态。 5.电力二极管的工作特性可概括为_承受正向电压导通,承受反相电压截止_。 6.电力二极管的主要类型有_普通二极管_、_快恢复二极管_、 _肖特基二极管_。 8.晶闸管的基本工作特性可概括为 __正向电压门极有触发则导通、反向电压则截止__ 。 18.在如下器件:电力二极管(Power Diode)、晶闸管(SCR)、门极可关断晶闸管(GTO)、电力晶体管(GTR)、电力场效应管(电力 MOSFET)、绝缘 栅双极型晶体管(IGBT)中,属于不可控器件的是_电力二极管__,属于半控型器件的是__晶闸管_,属于全控型器件的是_ GTO 、GTR 、电力 MOSFET 、 IGBT _;属于单极型电力电子器件的有_电力 MOSFET _,属于双极型器件的有_电力二极管、晶闸管、GTO 、GTR _,属于复合型电力电子器件得有 __ IGBT _;在可控的器件中,容量最大的是_晶闸管_,工作频率最高的是_电力 MOSFET,属于电压驱动的是电力 MOSFET 、IGBT _,属于电流驱动的是_晶闸管、
GTO 、GTR _。2、可关断晶闸管的图形符号是 ;电力场效应晶体管的图形符号是
绝缘栅双极晶体管的图形符号是
;电力晶体管的图形符号是

第 2 章 整流电路 1.电阻负载的特点是_电压和电流成正比且波形相同_,在单相半波可控整流电阻性负载电路中,晶闸管控制角 α 的最大移相范围是_0-180O_。 2.阻感负载的特点是_流过电感的电流不能突变,在单相半波可控整流带阻感负载并联续流二极管的电路中,晶闸管控制角 α 的最大移相范围是__0-180O
_ ,其承受的最大正反向电压均为_ 2U2 __,续流二极管承受的最大反向电压为__ 2U2 _(设 U2 为相电压有效值)。
3.单相桥式全控整流电路中,带纯电阻负载时,α 角移相范围为__0-180O _,单个晶闸管所承受的最大正向电压和反向电压分别为__ 2U2 2 和_ 2U2 ;
带阻感负载时,α 角移相范围为_0-90O _,单个晶闸管所承受的最大正向电压和反向电压分别为__ 2U2 _和__ 2U2 _;带反电动势负载时,欲使电阻上的电
流不出现断续现象,可在主电路中直流输出侧串联一个_平波电抗器_。
5.电阻性负载三相半波可控整流电路中,晶闸管所承受的最大正向电压 UFm 等于__ 2U2 _,晶闸管控制角 α 的最大移相范围是_0-150o_,使负载电流连
续的条件为__ 30o __(U2 为相电压有效值)。
6.三相半波可控整流电路中的三个晶闸管的触发脉冲相位按相序依次互差_120o _,当它带阻感负载时, 的移相范围为__0-90o _。 7.三相桥式全控整流电路带电阻负载工作中,共阴极组中处于通态的晶闸管对应的是_最高__的相电压,而共阳极组中处于导通的晶闸管对应的是_最低_
的相电压;这种电路
角的移相范围是_0-120o _,ud 波形连续的条件是_ 60o _。
8.对于三相半波可控整流电路,换相重迭角的影响,将使用输出电压平均值__下降_。
11.实际工作中,整流电路输出的电压是周期性的非正弦函数,当
从 0°~90°变化时,整流输出的电压 ud 的谐波幅值随
的增大而 _增大_,

从 90°~180°变化时,整流输出的电压 ud 的谐波幅值随
的增大而_减小_。
12. 逆 变 电 路 中 , 当 交 流 侧 和 电 网 连 结 时 , 这 种 电 路 称 为 _ 有 源 逆 变 _ , 欲 实 现 有 源 逆 变 , 只 能 采 用 __ 全 控 _ 电 路 ; 对 于 单 相 全 波 电 路 , 当 控制 角
0<
<
时,电路工作在__整流_状态;
时,电路工作在__逆变_状态。
13.在整流电路中,能够实现有源逆变的有_单相全波_、_三相桥式整流电路_等(可控整流电路均可),其工作在有源逆变状态的条件是_有直流电动势,
其极性和晶闸管导通方向一致,其值大于变流器直流侧平均电压_和__晶闸管的控制角 a > 90O,使输出平均电压 Ud 为负值_。 第 3 章 直流斩波电路
1.直流斩波电路完成得是直流到_直流_的变换。
2.直流斩波电路中最基本的两种电路是_降压斩波电路 和_升压斩波电路_。
3.斩波电路有三种控制方式:_脉冲宽度调制(PWM)_、_频率调制_和_(ton 和 T 都可调,改变占空比)混合型。
6.CuK 斩波电路电压的输入输出关系相同的有__升压斩波电路___、__Sepic 斩波电路_和__Zeta 斩波电路__。
7.Sepic 斩波电路和 Zeta 斩波电路具有相同的输入输出关系,所不同的是:_ Sepic 斩波电路_的电源电流和负载电流均连续,_ Zeta 斩波电路_的输入、输
出电流均是断续的,但两种电路输出的电压都为__正_极性的 。
8.斩波电路用于拖动直流电动机时,降压斩波电路能使电动机工作于第__1__象限,升压斩波电路能使电动机工作于第__2__象限,_电流可逆斩波电路能
编辑版 word

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

相关文档
最新文档