Altium Designer中的电路仿真

Altium Designer中的电路仿真
Altium Designer中的电路仿真

今天看了下Altium Designer的电路仿真功能,发现它还是蛮强大的,按着help里面的文档《TU0106 Defining & running Circuit Simulation analyses.PDF》跑了一下,觉得还行,所以就把这个文档翻译下。。。。。

其中包含了仿真功能的介绍,元件仿真模型的添加与修改,仿真环境的设置,等等。本人对SPICE仿真了解的不多,里面涉及到SPICE的文件如果有什么错误,欢迎提出!

一、电路仿真功能介绍

Altium Designer的混合电路信号仿真工具,在电路原理图设计阶段实现对数模混合信号电路的功能设计仿真,配合简单易用的参数配置窗口,完成基于时序、离散度、信噪比等多种数据的分析。Altium Designer 可以在原理图中提供完善的混合信号电路仿真功能 ,除了对XSPICE 标准的支持之外,还支持对Pspice模型和电路的仿真。

Altium Designer中的电路仿真是真正的混合模式仿真器,可以用于对模拟和数字器件的电路分析。仿真器采用由乔治亚技术研究所(GTRI)开发的增强版事件驱动型XSPICE仿真模型,该模型是基于伯克里SPICE3代码,并于且SPICE3f5完全兼容。

SPICE3f5模拟器件模型:包括电阻、电容、电感、电压/电流源、传输线和开关。五类主要的通用半导体器件模型,如diodes、BJTs、JFETs、MESFETs和MOSFETs。

XSPICE模拟器件模型是针对一些可能会影响到仿真效率的冗长的无需开发局部电路,而设计的复杂的、非线性器件特性模型代码。包括特殊功能函数,诸如增益、磁滞效应、限电压及限电流、s域传输函数精确度等。局部电路模型是指更复杂的器件,如用局部电路语法描述的操作运放、时钟、晶体等。每个局部电路都下在*.ckt文件中,并在模型名称的前面加上大写的X。

数字器件模型是用数字SimCode语言编写的,这是一种由事件驱动型XSPICE模型扩展而来专门用于仿真数字器件的特殊的描述语言,是一种类C语言,实现对数字器件的行为及特征的描述,参数可以包括传输时延、负载特征等信息;行为可以通过真值表、数学函数和条件控制参数等。它来源于标准的XSPICE代码模型。在SimCode中,仿真文件采用ASCII 码字符并且保存成.TXT后缀的文件,编译后生成*.scb模型文件。可以将多个数字器件模型写在同一个文件中。

Altium Designer 可实现如下功能:

1、仿真电路建立及与仿真模型的连接

AD 中由于采用了集成库技术,原理图符号中即包含了对应的仿真模型,因此原理图即可直接用来作为仿真电路,而99SE中的仿真电路则需要另行建立并单独加载各元器件的仿真模型。

2、外部仿真模型的加入

AD中提供了大量的仿真模型,但在实际电路设计中仍然需要补充、完善仿真模型集。一方面,用户可编辑系统自带的仿真模型文件来满足仿真需求,另一方面,用户可以直接将外部标准的仿真模型倒入系统中成为集成库的一部分后即可直接在原理图中进行电路仿真。

3、仿真功能及参数设置

Altium Designer的仿真器可以完成各种形式的信号分析,在仿真器的分析设置对话框中,通过全局设置页面,允许用户指定仿真的范围和自动显示仿真的信号。每一项分析类型可以在独立的设置页面内完成。Altium Designer中允许的分析类型包括:

1) 直流工作点分析

2) 瞬态分析和傅立叶分析

3) 交流小信号分析

4) 阻抗特性分析

5) 噪声分析

6) Pole-Zero(临界点)分析

7) 传递函数分析

8) 蒙特卡罗分析

9) 参数扫描

10) 温度扫描等

二、操作步骤

2.1、使用Altium Designer仿真的基本步骤如下:

1) 装载与电路仿真相关的元件库

2) 在电路上放置仿真元器件(该元件必须带有仿真模型)

3) 绘制仿真电路图,方法与绘制原理图一致

4) 在仿真电路图中添加仿真电源和激励源

5) 设置仿真节点及电路的初始状态

6) 对仿真电路原理图进行ERC检查,以纠正错误

7) 设置仿真分析的参数

8) 运行电路仿真得到仿真结果

9) 修改仿真参数或更换元器件,重复5~8的步骤,直至获得满意结果。

2.2、具体实现电路仿真的整个过程

2.2.1、创建工程

1) 在工具栏选择File ? New ? Project ? PCB Project ,创建一个PCB 工程并保存。

2) 在工具栏选择File ? New ? Schematic,创建一个原理图文件并保存。

2.2.2、原理图展示

测试电路如图 1:

图1

2.2.3、编辑原理图

1、放置有仿真模型的元件

根据上面的电路,我们需要用到元器件“LF411CN”,点击左边“Library”标签,使用search功能查找LF411CN。找到LF411CN之后,点击“Place LF411CN”,放置元件,若提示元件库未安装,需要安装,则点击“yes”,如图2:

图2

在仿真元件之前,我们可以按“TAB”键打开元件属性对话框,在“Designator”处填入U1;接着查看LF411CN的仿真模型:在左下角Models列表选中Simulation,再点击“Edit”,可查看模型的一些信息,如图 3。

图3

从上图可以看出,仿真模型的路径设置正确且库成功安装。点击“Model File”标签,可查看模型文件(若找不到模型文件,这里会有错误信息提示),如图 4。

图4

点击“Netlist Template”标签,可以查看网表模板,如图 5。

图5

至此,可以放置此元件。

2、为元件添加SIM Model文件

用于电路仿真的Spice模型(.ckt和.mdl文件)位于Library文件夹的集成库中,我们使用时要注意这些文件的后缀。模型名称是模型连接到SIM 模型文件的重要因素,所以要确保模型名称设置正确。查找Altium 集成库中的模型文件步骤如下:点击Library面板的Search按钮,在提示框中填入:HasModel('SIM','*',False)进行搜索;若想更具体些可填入:HasModel('SIM','*LF411*',False)。

若我们不想让元件使用集成库中提供的仿真模型,而想用别的模型代替,我们最好将别的模型文件复制到我们的目标文件夹中。

如果我们想要用的仿真模型在别的集成库中,我们可以:

1) 点击File ? Open,打开包含仿真模型的库文件(.intlib)。

2) 在输出文件夹(打开集成库时生成的文件夹)中找到仿真文件,将其复制到我们自己的工程文件夹中,之后我们可以进行一些修改。

复制好模型文件,再为元器件添加仿真模型。为了操作方便,我们直接到安装目录下的“Examples/CircuitSimulation/Filter”文件夹中,复制模型文件“LF411C.ckt”到自己的工程文件夹中,接下来的步骤:

1) 在Project面板中,右击工程,选择“Add Existing to Project”,将模型文件添加到本工程中。

2) 双击元件U1,打开元件属性对话框,在Model列表中选择Simulation,点击Remove按钮,删除原来的仿真模型。

3) 点击Model列表下方的Add下拉按钮,选择“Simulation”

4) 在Model Sub-Kind中选择“Spice Subcircuit”,使得Spice的前缀为“X”

5) 在Model Name中输入“LF411C”,此时AD会搜索所有的库,来查询是否有与这名称匹配的模型文件。如果AD找到一个匹配的文件,则

立即停止寻找。对于不是集成库中的模型文件,AD会对添加到工程的文件进行搜索,然后再对搜索路径(Project ? Project Options)中的文件进行搜索。如果找不到匹配的文件,则有错误信息提示。

6) 最后的步骤是检查管教映射是否正确,确保原理图中元件管脚与模型文件中管脚定义相匹配。点击“Port Map”,如图 6:

图6

修改管脚映射,在Model Pin列表下拉选择合适的引脚,使其和原先的SIM模型(LF411_NSC)相同。我们可以点击Netlist Template标签,注意到其模型顺序为1,2,3,4,5;如图 7:

图7

这些和Model File标签中的.SUBCKT头相对应,如图 8:

图8

因此,在“Port Map”标签中的“Model Pin”列表中,我们可以看到1(1), 2(2), 3(3), 4(4), 5(5),被列举出来,其中第一个数字就是模型管脚(就是Netlist Template中的%1,%2等),而subcircuit的头则对应着小括号里面的数字。在Spice netlist中,我们需要注意其中节点的连接顺序,这些必须和.SUBCKT头中的节点顺序相匹配。

Netlist 头描述了每个管脚的功能,根据这些信息我们可以将其连接到原理图管脚,如:1(1)是同相输入,故需连接到原理图管脚3。

原先的管脚映射和修改的管脚映射如图 9:

图9

之后点击“OK”,完成自定义仿真模型的添加。

3、放置有仿真模型的电阻电容

放置电阻前,我们可以按“TAB”键,打开元件属性窗口,设置电阻值;在Model列表中,选中“Simulation”,点击“Edit”,查看仿真模型属性。一般系统默认设置就是正确的,如果没修改过,应该有如图 10属性:

图10

同理,放置电容的情况也一样,先设置电容值,再查看仿真模型属性,如图 11:

图11

4、放置电压源

1) 首先放置VDD电源。使用“Library”面板的search功能,检索关键字“VSRC”;查找到“VSRC”之后,双击元件,若提示集成库未安装则安装,其集成库为“Simulation Sources.IntLib”。

2) 在放置元件前,按“TAB”键,打开元件属性对话框,再编辑其仿真模型属性,先确保其“Model Kind”为“Voltage Source”,“Model Sub-Kind”为“DC Source”。

3) 点击“Parameters”标签,设置电压值,输入“5V”,并使能“Component Parameter”,之后点击OK,完成设置。如图 12:

图12

4) 同理放置VSS,并设置其电压值为“-5V”

5) 最后添加正弦信号输入:同样是Simulation Sources.IntLib中的VSRC,打开其仿真模型属性对话框,设置“Model Kind”为“Voltage Source”,而“Model Sub-Kind”设置为“Sinusoidal”。

6) 点击“Parameters”标签,设置电压值,可按如图 13设置:

图13

之后点击OK,设置完成,放置信号源。

5、放置电源端口。

1) 点击“Place ? Power Port”,在放置前按“TAB”键,设置端口属性。

2) 其中对于标签VDD和VSS,其端口属性为“BAR”。

3) 对于标签GND,其端口属性为“Power Ground”。

4) 对于标签OUT(网络),其端口属性为“Circle”

6、连线,编译

根据上面的原理图连接好电路,并在相应的地方放置网络标签,之后编译此原理图。

2.2.4、仿真设置

点击“Design ? Simulate ? Mix Sim”,或是点击工具栏中(可

通过“View ? Toolbars ? Mixed Sim”调出)的图标,进入设置窗口。如图 14:

图14

按照图中显示设置好“Collect Data For”,“Sheets to Netlist”和“SimView Setup”等三个区域,并且我们可以看到有一系列的信号在“Available Signal”中,这些都是AD计算出来并可以进行仿真的信号。如果我们想要观察某个信号,只需将其导入(双击此信号)到右边的“Active Signal”中;同理,若想删除“Active Signal”中的信号,也可以通过双击信号实现。1、传输函数分析(包括傅立叶变换)设置

传输函数分析会生成一个文件,此文件能显示波形图,计算时间变化的瞬态输出(如电压,电流)。直流偏置分析优先于瞬态分析,此分析能够计算出电路的直流偏置电压;如果“Use Initial Conditions”选项被使能,直流偏置分析则会根据具体的原理图计算偏置电压。

首先应该使能“Transient Analysis”;然后取消“Use Transient Defaults”选项,为了观察到50Khz信号的三个完整波形,我们将停止时间设置为60u;并将时间增长步长设置为100n,最大增长步长为200n。最终设置如图15:

图15

2、交流小信号分析设置

交流小信号分析的输出文件显示了电路的频率响应,即以频率为变量计算交流小信号的输出值(这些输出值一般是电压增益)。

1) 首先我们的原理图必须有设置好参数的交流信号源(上面的步骤已经设置好)

2) 使能“AC Small Signal Analysis”选项

3) 然后根据图 16输入参数:

图16

(注:如上图,开始频率点一般不设置为0,上图100m表示0.1HZ,结束频率点1meg表示1MHZ;“Sweep Type”设置为“Decade”表示每100测试点以10为底数增长,总共有701个测试点。)

至此,交流小信号分析设置完成。AD进行此电路仿真分析时,先计算电路的直流偏置电压,然后以变化的正弦输入代替原有的信号源,计算此时的电路的输出,输入信号的变化是根据“Test Points”和“Sweep Type”这两个选项进行的。

3、电路仿真与分析

如何将altiumdesigner的原理图和PCB转入cence里

如何将a l t i u m d e s i g n e r 的原理图和P C B转入 c e n c e里 标准化管理部编码-[99968T-6889628-J68568-1689N]

说明: 1)本教程适用于将altiumdesigner的原理图和PCB转入cadence(分别对应captureCIS和allegro)里。对于protel99se,可以将其先导入较新版本的AD 里,再转入cadence中。 2)整个过程中使用的软件包括altiumdesignerSummer08,cadence16.6,orCAD10.3-capture(免安装精简版),PADS9.3三合一完美精简版。其中,后面两个软件较小,便于下载。 3)原理图的转化路线是,从altiumdesigner导出的.dsn文件,用orcad10.3-capture打开后,保存为cadence16.6可以打开的文件。因为较新版本的cadence不能直接打开AD转换出来的.dsn文件。如果你不是这些版本的软件,也可以参考本人的方法进行尝试。 4)pcb转化的顺序是,altiumdesigner导出的文件,导入PADS9.3打开,然后导出.asc文件。随后利用allegro对pads的接口,将pads文件导入。 1.原理图的导入 1.1选中原理图的项目文件,即.PRJPCB文件,右键-》saveprojecas,选 择.dsn文件,输入要保存的文件名,保存。注意输入新的文件名的时候要把文件名的后缀手动改掉。 1.2打开orCAD10.3-capture文件夹下面的capture.exe(如果同一台电脑装了新版本的cadence,例如cadence16.6的话,环境变量中的用户变量会有冲突。具体地来说对于orCAD10.3来说,CDS_LIC_FILE的值必须是安装目录 \orCAD10.3-capture\crack\license.dat。而对于cadence16.6来说,环境变量必须是5280@localhost。因此要使用orCAD10.3的话,必须将CDS_LIC_FILE 的值改掉,否则无法打开。等下使用cadence16.6,就必须将值改回来)。 1.3使用orCAD10.3将刚才保存的.dsn文件打开,并保存成project。 1.4随后就可以使用新版本的cadence的captureCIS打开保存的文件(注意改环境变量中的用户变量CDS_LIC_FILE)。 2.PCB的导入 由于allegro可以根据已有的brd文件生成元器件的封装,因此将PCB导入allegro后使用者免于重新使用allegro绘制一遍封装。 1.1打开pads9.3,file-》new,按照默认配置建立一个文件,保存。 1.2f ile-》import,选中要转换的.pcb文件,打开,保存在C盘的 PADSProjects文件夹下面。(安装PADS9.3三合一完美精简版时会自动在C 盘产生这个文件夹。) 1.3f ile-》export,将文件保存为.asc文件。接下来回弹出下图所示的对话 框。注意要将.pcb文件和.asc文件保存在同一个目录下,即C盘的 PADSProjects文件夹下面,否则allegro转换时会出现pads_in.log找不到的现象。(关于AD的pcb文件导入pads,网上还有一种方法是AD保存为PCB二进制文件,即.PcbDoc文件,再由pads导入.PcbDoc文件。用户可以自行尝试。总之,ad转换成pads似乎较为顺畅) 1.4格式选择PowerPCBV5.0,勾选认为比较的项目。点击“OK”。

AltiumDesigner的同一个工程中有多原理图多PCB工程处理模板

同一个工程,有不止一块的PCB,原理图已经分开设计了,但在导入到PCB时就只能全部导入到同一个PCB文件,莫非只能把不同的PCB与对应的原理图分开到另一工程才能解决?相信这也困扰了不少坛友。 近日在网上找到了解决方法,现整理发上来,让更多的坛友知道这一技巧。 问题: 在用Altium Designer进行PCB工程设计时,有时一个工程里可能不止一块PCB,比如,一个设备里有主板和扩展板或者按键板等等 这时就需要在一个工程里添加多个PCB文件。如图: -」Source Documents 3 Sheetl.SchDoc _J' SheetZ SchDoc PCBI.PcbDoc______________ 亠’ PCB2.PcbDoc 曹 我们知道,在Altium Designer中将原理图导入到PCB是经过在原理图菜单Design->Update PCB Document xxx.PcbDoc,如图: T A_d .< ?' . l_j 訂轻:、ScflCao IP s ■ P £?3I:I gfk Repis Wi n.d?ir Help Itpditt FCI FCBILFCIh亡 FCJ FCB2. onrse Li bi ar y.. Add/Rsjwiove Li br ary B 孔Schematic Library I- orr y*?比■?尸寸□百" 4^ 1■

1■

资料内容仅供您学习参考,如有不当或者侵权,请联系改正或者删除。 在进行这样的操作之后,虽然我们选的是某一个PCB文件,可是最后结果是,所有原理图都被导入到了这个选中的PCB文件中,无法实现不同的原理图导入到不同的PCB。 在Altium Designer中,我们能够用Altium Designer设计同步装置把 设计资料从一个区域转到另一个区域,它包括比较工具、ECO以及UPDATER。它能够用于原理图和PCB之间的转换,Altium Desig ner中导入网络表不再是必须的。 1)在原理图环境操作菜单中的Project->Show Differences,显示Choose Documents to Compare对话框: 2)在Choose Documents to Compare 对话框中,按Advaneed Mode

AltiumDesigner自学电子笔记

第一天 Altium Designer概述 a. (1)电子开发辅助软件的发展; (2)软件安装及破解; (3)软件开发环境; (4)软件功能; (5)preference setting(优先项) b. (1)help文档knowledge center和shortcut keys; (2)基本的窗口操作(移动、合并、split vertical垂直分割、open in new window);(3)reference designs and exampals; (4)home page;

第二天 电子设计基础知识 a. (1)PCB(Printed Circuit Board)印制电路板设计流程:双面覆铜板下料叠板 数控钻导通孔 检验、去毛刺涮洗 化学镀(导通孔金属化,全板电镀覆铜) 检验涮洗 网印负性电路图形、固化(干膜或湿膜曝光,显影)检验、修版 线路图形电镀 电镀锡(抗腐蚀镍/金) 去印料(感光膜) 刻蚀铜 (退锡) 清洁刷洗 网印阻焊图形(常用热固化绿油) 清洁、干燥 网印标记字符图形、固化 (喷锡) 外形加工 清洗、干燥 电气通断检测 检验包装 成品出厂; (2)EDA设计基本流程: 原理图设计 网络报表的生成 印制板的设计; (3)印制板总体设计的基本流程: 原理图设计 原理图仿真 网络报表的生成 印制板的设计 信完整性分析 文件储存及打印; (4)原理图的一般设计流程: 启动原理图编辑器 设置原理图图纸 设置工作环境

装载元件库 放置元件并布局 原理图布线 原理图的电气检查 网络报表及其他报表的生成 文件储存及打印; (5)PCB设计的一般流程: 启动印制板编辑器 设置工作环境 添加网络报表 设置PCB设计规则 放置原件并布局 印制电路板布线 设计规则检查 各种报表的生成 文件储存及打印; (6)基本概念: 层(Layer):印制电路板的各铜箔层; 过孔(Via):为连通各层之间的线路的公共孔; 埋孔(Buriedvias):中间一层到表面,不穿透整个板子; 盲孔(Blindvias):只连接中间几层的PCB,在表面无法识别其位置; 丝印层(Overlay):标志图案代号和文字; 网格填充区(External Plane):网状铜箔; 填充区(Fill Plane):完整保留铜箔; SMD封装:表面焊装器件; 焊盘(Pad); 膜(Mask):元件面助焊膜,元件面阻焊膜; (7)印制板的基本设计准则 抗干扰设计原则 热设计原则 抗振设计原则 可测试型设计原则 b. (1)抗干扰设计原则 1.电源线的设计:(1)选择合适的电源;(2)尽量加宽电源线;(3)保证电源线、底线走线与数据传输方向一致;(4)使用抗干扰元器件(磁珠、磁环、屏蔽罩、电源滤波器);(5)电源入口添加去耦电容 2.地线的设计:(1)模拟地与数字地分开;(2)尽量采用单点接地;(3)尽量加宽地线;(4)将敏感电路连接到稳定的接地参考源;(5)对PCB板进行分区设计,把高宽带的噪声电路与低频电路分开;(6)尽量减少接地环路的面积 3.元器件的配置:(1)不要有过长的平行信号线;(2)保证PCB的时钟发生器、晶振和CPU的时钟输入端尽量靠近,同时远离其他低频器件;(3)元器件应围绕核心器件进行配置,尽量减少引线长度;(4)对PCB板按频率和开关特性进行分区布局,保证噪声元器件和非噪声元器件的距离;(5)考虑PCB板在机箱中位置和方向(放出热量高的

altiumdesigner原理图元器件库详细说明

Altium Designer原理图元器件库详细说明 altium desinger 原理图元器件库详细说明 包括电阻、电容、二极管、三极管和PCB的连接器符号 包括虚拟仪器和有源器件 包括二极管和整流桥 包括LCD、LED 包括三极管 包括场效应管 包括模拟元器件 VALVES .LIB 包括电子管 包括电源调节器、运放和数据采样IC 包括电容 包括 4000系列 包括ECL10000系列 包括通用微处理器 包括运算放大器 包括电阻 FAIRCHLD .LIB 包括FAIRCHLD 半导体公司的分立器件 包括 LINTEC公司的运算放大器 包括国家半导体公司的数字采样器件 包括国家半导体公司的运算放大器 包括TECOOR公司的 SCR 和TRIAC 包括德州仪器公司的运算放大器和比较器ZETEX .LIB 包括ZETEX 公司的分立器件也许部分因版本回有所不同,这是 PROTEUS 的版本。 如何删除左边元件列表中的元件 点edit 中的Tidy可以删去所有你没用到的零件,但如果想只删其中指定的零件,似乎Proteus没有这个功能。 在器件箱中删除任意元件的方法: 1.先在图纸中右键删除你在器件箱中指定的元件。 2.选中编辑(Edit)--整理选项(Tidy)--确定。 3.整理选项(Tidy)可以删除图纸上没有物理连接和在图纸工作区域以外的所有元件。 教你如何自己做模版 点击此处下载(文件大小:628K) 怎样可以看见电路中的电流流动

菜单\System\Set Animation Options\Show Wire Current with Arrows 后面打勾 怎样看高低电平 在元件脚上有一个正方形的小点,红色为高电平,蓝色为低电平 元件库元件名称及中英对照 AND 与门 ANTENNA 天线 BATTERY 直流电源 BELL 铃,钟 BVC 同轴电缆接插件 BRIDEG 1 整流桥(二极管) BRIDEG 2 整流桥(集成块) BUFFER 缓冲器 BUZZER 蜂鸣器 CAP 电容 CAPACITOR 电容 CAPACITOR POL 有极性电容 CAPVAR 可调电容 CIRCUIT BREAKER 熔断丝 COAX 同轴电缆 CON 插口 CRYSTAL 晶体整荡器 DB 并行插口 DIODE 二极管 DIODE SCHOTTKY 稳压二极管 DIODE VARACTOR 变容二极管 DPY_3-SEG 3段LED DPY_7-SEG 7段LED DPY_7-SEG_DP 7段LED(带小数点) ELECTRO 电解电容 FUSE 熔断器 INDUCTOR 电感 INDUCTOR IRON 带铁芯电感 INDUCTOR3 可调电感 JFET N N沟道场效应管 JFET P P沟道场效应管 LAMP 灯泡 LAMP NEDN 起辉器 LED 发光二极管 METER 仪表 MICROPHONE 麦克风 MOSFET MOS管

最新AltiumDesigner绘制电路原理图汇总

A l t i u m D e s i g n e r绘 制电路原理图

Altium Designer绘制电路原理图 时间:2011-08-28 22:19来源:作者:点击: 513 次 ?第3章绘制电路原理图 o 3.1 元件库操作 ? 3.1.1 元件库的加载与卸载 ? 3.1.2 查找元器件 o 3.2 元器件操作 ? 3.2.1 放置元器件 ? 3.2.2 编辑元件属性 ? 3.2.3 元件的选取 ? 3.2.4 元件剪切板操作 ? 3.2.5 撤销与重做 ? 3.2.6 元件的移动与旋转 ? 3.2.7 元件的排列 o 3.3 电气连接 ? 3.3.1 绘制导线 ? 3.3.2 导线的属性与编辑 ? 3.3.3 放置节点 ? 3.3.4 绘制总线 ? 3.3.5 放置网络标号 ? 3.3.6 放置电源和地 o 3.4 放置非电气对象 ? 3.4.1 绘制图形 ? 3.4.2 放置字符串 ? 3.4.3 放置文本框 ? 3.4.4 放置注释 o 3.5 放置指示符 ? 3.5.1 放置忽略错误规则检查 ? 3.5.2 放置编译屏蔽 ? 3.5.3 放置PCB布局 第3章绘制电路原理图 通过上一章的学习,相信读者对Altium Designer 7.0的原理图编辑环境有了深刻的了解,本章将以一个51单片机工作系统为总体脉络详细介绍Altium Designer 7.0原理图的编辑操作和技巧,该单片机系统以Philips公司的 P89C51RC2HBP单片机为核心实现一个实时时钟数码管显示的功能,并能够通过RS232串口与上位机通信。请读者打开附带光盘中的“源文件MCU51.PrjPCB”

AltiumDesigner使用教程

设计并生成PCB 根据WEBENCH生成的电源原理图,就可以在AltiumDesigner中画出设计电路的原理图和PCB图。 1.Ultra Librarian的安装和使用 1)在TI官网下载并安装Ultral Librarian 2)在TI官网找到要使用的芯片,在“符号和封装”项目下点击下载CAD文件(后缀为.bxl), 如下图所示: 3)打开Ultral Librarian软件,首先点击“Load Data”装载刚刚下载.bxl文件,在选择“Select Tools”中的“Altium Designer”,最后点击“Export to Selected Tools”。如下图所示: 4)随后会生成一个.txt文档,如下图所示。其中红色方框表示生成的PCB库和原理图所在 位置。 5)打开红色方框中的路径,里面有一个“UL_import.PrjSrc”,用AltiumDesigner打开,如 下图所示。 6)双击图中的1,在点击图中的2,会出现以下界面: 7)选择生成Ultral Librarian生成的文件夹中的“.txt”文件,然后点击“Start Import”那么就生 成了所需芯片的封装和原理图的库,只要在原件库中安装即可使用。如下图所示: 注意:这里生成的PCB库和原理图库首次打开可能会没有,解决的方法是先关闭然后再打开就可以了。 2.设计电路原理图 1)打开AD软件,依次选择:文件->新建(new)->工程(project)->PCB工程,在建立工 程之后一定要保存工程。如下图所示: 2)在新建的PCB项目下创建原理图项目(Schematic)。 3)在库中选择相应的原件,拖入原理图,如下图所示: 4)利用工具条中的放置线、电源、地等工具连接电路原件,完成的原理图如下图所示: 5)最后保存生成的原理图。 3.设计PCB图 1)为原理图中所有的原件选择封装。双击原件,在Footprint选项中就可以选择封装,并 保存,如下图所示: 2)对选择封装之后的电路图进行电气检查,图下图所示: 3)电气检查没有错误之后,为工程添加PCB项目并保存,如下图所示: 4)右键项目,点击“Compile PCB Project PCB PCB_Project1.prjPCB”,如下图所示: 5)打开之前建立的PCB项目,点击“设计”选项中的“Update Schematics in PCB_Project1.PrjPCB”。如下图所示:

AltiumDesigner绘制电路原理图

AltiumDesigner绘制电路原理图 图3- 72用公共导线连接总线入口 图3- 73总线进入的四种状态 图3- 74总线入口属性设置 网络号的放置:网络号的放置对于总线系统是必要的。没有网络号的总线没有实际的电气意义。两端连接到总线的设备数量相同的引脚将具有电气连接。因为总线系统通常用来表示芯片的地址总线和数据总线,所以连接到总线的导线通常称为AD0~AD8等。当放置第一个网络标签时,按[Tab]键将网络名称更改为AD0,则以后放置的网络名称的标签将自动增加。下一节将详细解释网络标签的放置和设置。 3.3.5公共汽车入口处的公共电线连接放置网络标签 网络标签的应用在上一节的总线放置过程中已经提到。事实上,网络标签的应用远非如此。网络标签是一种无线线路,具有相同网络标签的电气节点以电气关系连接在一起。无论它们之间是否存在实际的导线连接,对于复杂的电路设计来说,用导线将各种具有电连接的节点连接起来是不容易的,这常常使得电路难以读取,而网络标签正好可以解决这个问题。执行[广场]菜单中的[网络标签]命令或单击工具栏上的 按按钮输入网络徽标。 号码放置状态。此时,鼠标将变成一个白色的“x”形光标,并附有网络标签。如果网络标签中有数字,网络标签中的数字会在每次放置时自动增加。将光标移动到导线上,当光标抓住导线时,它将变成与网

络标签相同的“x”形。此时,单击鼠标左键以成功放置网络标签。同时,导线的网络名称也将被重命名为网络标签名称。在Altium Designer的电路设计中,每个实际的电气连接都属于一个网络,并有一个网络名称。当鼠标在线路上停留一段时间后,系统会自动提示该线路所属的网络名称,如图3-76的左图所示。网络:网络C3_1意味着网络连接到电容器C3的第一个引脚。当放置名为AD1的网络标签时,网络的网络名称变为AD1。 图3- 75网络标签的放置 图3- 76线网名称的变化 图3- 77网络标签放置前放置网络标签后的网络标签属性设置 网络标签最重要的属性是它所属网络的网络名称。按[选项卡]键或双击放置的网络标签,弹出网络标签属性设置对话框,如图3-77所示。您可以在[网络]文本框中填写网络标签的名称,或者下拉文本框以选择现有网络标签的名称,使其属于同一网络。此外,还可以设置网络标签的颜色、位置、旋转角度和字体,这与前面提到的电线和组件的属性设置一致,因此不再详细描述。3.3.6放置电源和接地 Altium Designer提供特殊的电源和接地符号,统称为电源端口。电源和接地实际上是特殊的网络符号,只提供一种视觉表现。电源和接地符号的网络名称可以更改并连接到任何网络。在[位置]菜单中选择[电源端口]命令,或单击工具栏上的 或者 按钮

如何将altiumdesigner的原理图和PCB转入cadence里

说明: 1)本教程适用于将altiumdesigner的原理图和PCB转入cadence(分别对应captureCIS和allegro)里。对于protel99se,可以将其先导入较新版本的AD里,再转入cadence中。 2)整个过程中使用的软件包括altiumdesignerSummer08,cadence16.6,orCAD10.3-capture(免安装精简版),PADS9.3三合一完美精简版。其中,后面两个软件较小,便于下载。 3)原理图的转化路线是,从altiumdesigner导出的.dsn文件,用orcad10.3-capture打开后,保存为cadence16.6可以打开的文件。因为较新版本的cadence不能直接打开AD转换出来的.dsn文件。如果你不是这些版本的软件,也可以参考本人的方法进行尝试。 4)pcb转化的顺序是,altiumdesigner导出的文件,导入PADS9.3打开,然后导出.asc文件。随后利用allegro对pads的接口,将pads文件导入。 1.原理图的导入 1.1选中原理图的项目文件,即.PRJPCB文件,右键-》saveprojecas,选择.dsn文件,输入要保存的文件名,保存。注意输入新的文件名的时候要把文件名的后缀手动改掉。 1.2打开orCAD10.3-capture文件夹下面的capture.exe(如果同一台电脑装了新版本的cadence,例如cadence16.6的话,环境变量中的用户变量会有冲突。具体地来说对于orCAD10.3来说,CDS_LIC_FILE的值必须是安装目录\orCAD10.3-capture\crack\license.dat。而对于cadence16.6来说,环境变量必须是5280@localhost。因此要使用orCAD10.3的话,必须将CDS_LIC_FILE的值改掉,否则无法打开。等下使用cadence16.6,就必须将值改回来)。 1.3使用orCAD10.3将刚才保存的.dsn文件打开,并保存成project。 1.4随后就可以使用新版本的cadence的captureCIS打开保存的文件(注意改环境变量中的用户变量CDS_LIC_FILE)。 2.PCB的导入 由于allegro可以根据已有的brd文件生成元器件的封装,因此将PCB导入allegro后使用者免于重新使用allegro绘制一遍封装。 1.1打开pads9.3,file-》new,按照默认配置建立一个文件,保存。 1.2file-》import,选中要转换的.pcb文件,打开,保存在C盘的PADSProjects文件夹下面。 (安装PADS9.3三合一完美精简版时会自动在C盘产生这个文件夹。) 1.3file-》export,将文件保存为.asc文件。接下来回弹出下图所示的对话框。注意要将.pcb 文件和.asc文件保存在同一个目录下,即C盘的PADSProjects文件夹下面,否则allegro 转换时会出现pads_in.log找不到的现象。(关于AD的pcb文件导入pads,网上还有一种方法是AD保存为PCB二进制文件,即.PcbDoc文件,再由pads导入.PcbDoc文件。用户可以自行尝试。总之,ad转换成pads似乎较为顺畅) 1.4格式选择PowerPCBV5.0,勾选认为比较的项目。点击“OK”。 1.5随后使用allegro的import-》translator,选择pads,弹出如下的对话框。 其中第一行是指定.asc文件,第三行是指定要转换成的.brd文件。一般而言都是C盘的PADSProjects文件夹内部。第二行只要指定目录,然后在对话框里点保存即可。点击translate,即可完成转换。随后file-》open,打开刚才建立的.brd文件。

如何将altiumdesigner的原理图和PCB转入cence里

如何将 a l t i u m d e s i g n e r的原理图和P C B转入c e n c e 里 This model paper was revised by the Standardization Office on December 10, 2020

说明: 1)本教程适用于将altiumdesigner的原理图和PCB转入cadence(分别对应captureCIS和allegro)里。对于protel99se,可以将其先导入较新版本的AD 里,再转入cadence中。 2)整个过程中使用的软件包括altiumdesignerSummer08,cadence16.6,orCAD10.3-capture(免安装精简版),PADS9.3三合一完美精简版。其中,后面两个软件较小,便于下载。 3)原理图的转化路线是,从altiumdesigner导出的.dsn文件,用orcad10.3-capture打开后,保存为cadence16.6可以打开的文件。因为较新版本的cadence不能直接打开AD转换出来的.dsn文件。如果你不是这些版本的软件,也可以参考本人的方法进行尝试。 4)pcb转化的顺序是,altiumdesigner导出的文件,导入PADS9.3打开,然后导出.asc文件。随后利用allegro对pads的接口,将pads文件导入。 1.原理图的导入 1.1选中原理图的项目文件,即.PRJPCB文件,右键-》saveprojecas,选 择.dsn文件,输入要保存的文件名,保存。注意输入新的文件名的时候要把文件名的后缀手动改掉。 1.2打开orCAD10.3-capture文件夹下面的capture.exe(如果同一台电脑装了新版本的cadence,例如cadence16.6的话,环境变量中的用户变量会有冲突。具体地来说对于orCAD10.3来说,CDS_LIC_FILE的值必须是安装目录 \orCAD10.3-capture\crack\license.dat。而对于cadence16.6来说,环境变量必须是5280@localhost。因此要使用orCAD10.3的话,必须将CDS_LIC_FILE 的值改掉,否则无法打开。等下使用cadence16.6,就必须将值改回来)。 1.3使用orCAD10.3将刚才保存的.dsn文件打开,并保存成project。 1.4随后就可以使用新版本的cadence的captureCIS打开保存的文件(注意改环境变量中的用户变量CDS_LIC_FILE)。 2.PCB的导入 由于allegro可以根据已有的brd文件生成元器件的封装,因此将PCB导入allegro后使用者免于重新使用allegro绘制一遍封装。 1.1打开pads9.3,file-》new,按照默认配置建立一个文件,保存。 1.2f ile-》import,选中要转换的.pcb文件,打开,保存在C盘的 PADSProjects文件夹下面。(安装PADS9.3三合一完美精简版时会自动在C 盘产生这个文件夹。) 1.3f ile-》export,将文件保存为.asc文件。接下来回弹出下图所示的对话 框。注意要将.pcb文件和.asc文件保存在同一个目录下,即C盘的 PADSProjects文件夹下面,否则allegro转换时会出现pads_in.log找不到的现象。(关于AD的pcb文件导入pads,网上还有一种方法是AD保存为PCB二进制文件,即.PcbDoc文件,再由pads导入.PcbDoc文件。用户可以自行尝试。总之,ad转换成pads似乎较为顺畅) 1.4格式选择PowerPCBV5.0,勾选认为比较的项目。点击“OK”。

实验4使用AltiumDesigner绘制电路原理图(上机)

实验4 使用Altium Designer绘制电路原理图 一、实验目的 1、熟悉Altium Designer的软件使用界面 2、掌握Altium Designer的原理图绘制流程及方法 二、实验原理 机器狗控制板的前端电路是主要由多个三极管构成的触发脉冲产生电路,如图4-1所示。咪头S1采集声音信号,经电容C1耦合送入由三极管Q1与电阻R1、R2、R5组成的单管共射放大电路,声音信号经放大电路放大后再经电容C2耦合作为三极管Q2的基极控制电压。如果控制电压足够大,则Q2管发射结导通,Q2管处于饱和状态,集电极电压为低电平,经接头P2的1脚送出去触发后端的单稳态触发器;如果控制电压不够大,Q2管发射结不导通,Q2管处于截止状态,集电极电压为高电平,将无法触发单稳态触发器。 图4-1 机器狗控制板前端电路原理图

接头P2的2脚接单稳态触发器的输出端。当单稳态触发器被触发了,则该端接高电平,经二极管D2给电容C3充电,当C3两端电压足够高了,这三极管Q3导通,将Q2的基极电位强制拉回到低电平,Q2截止,为下一次触发做准备。但Q3导通后,电容C3放电,C3两端电压下降到一定值后,Q3截止。通过D2、C3和Q3组成的反馈控制,使得单稳态触发器可以被多次重复触发。 三、实验条件及设备 1、计算机 2、EDA设计软件Altium Designer 13 四、实验内容与操作步骤 绘制电路原理图步骤见图4-2。

步骤1.创建PCB 设计项目(*.PrjPCB ) 启动Altium Designer,创建PCB 设计项目:Cat.PrjPCB 。 步骤2.创建原理图文件 在AD 初步.PrjPCB 项目下,执行选单命令【 File 】/【New 】 /【Schematic 】,创建原理图文件,并另存为“AD 初步.SchDoc ”。这里应注意的是做项目的思想,尽量把一个工程的文件另存为到同一文件夹下,方便以后的管理。 进入原理图编辑器后,设计者可以通过浏览的方式熟悉环境、各菜单命令。这里对一些常用菜单做简单说明。 如图4-4,【File 】是对项目创建管理的窗口,【Edit 】是对画原理图时对其一些功能的编辑,【View 】具有查看、放大、缩小的功能,【Project 】可以对原理图进行编译,检查错误,【Place 】中有一些常用器件,可直接放置,【Design 】可以进行一些高级设计,【Tools 】平时用得比较多点,可以对元器件进行自动排序,查看元器件的封装等。 如图4-5,这个工具栏可以直接对连线、总线、文本、地线、电源等进行放置。 如图4-6,这个工具栏可以直接对电阻、电容等进行放置。

AltiumDesigner的同一个工程中有多原理图、多PCB工程处理

同一个工程,有不止一块的PCB,原理图已经分开设计了,但在导入到PCB时就只能全部导入到同一个PCB 文件,莫非只能把不同的PCB与对应的原理图分开到另一工程才能解决?相信这也困扰了不少坛友。 近日在网上找到了解决方法,现整理发上来,让更多的坛友知道这一技巧。 问题: 在用Altium Designer进行PCB工程设计时,有时一个工程里可能不止一块PCB,比如,一个设备里有主板和扩展板或者按键板等等,这时就需要在一个工程里添加多个PCB文件。如图: 我们知道,在Altium Designer中将原理图导入到PCB是通过在原理图菜单Design->Update PCB Document xxx.PcbDoc,如图: 在进行这样的操作之后,虽然我们选的是某一个PCB文件,但是最后结果是,所有原理图都被导入到了这个选中的PCB文件中,无法实现不同的原理图导入到不同的PCB。 在Altium Designer中,我们可以用Altium Designer设计同步装置把设计资料从一个区域转到另一个区域,它包括比较工具、ECO以及UPDATER。它可以用于原理图和PCB之间的转换,Altium Designer中导入网络表不再是必须的。 1)在原理图环境操作菜单中的Project->Show Differences,显示Choose Documents to Compare对话框:

2)在Choose Documents to Compare对话框中,按Advanced Mode点上对号。对话框将显示成两个专栏, 在其中一个选择Sch,并在另一个中选择对应的PCB文件,如图,我选择左边的Sheet1.SchDoc原理图文件与右边的PCB2.PcbDoc文件对应,然后点击OK。这里可能回弹出个错误,这是因为新建的PCB2.PcbDoc 没有保存,只要保存一下再重新进行以上操作就可以了。

实验4使用AltiumDesigner绘制电路原理图(上机)

《电子工程技术基础》实验指导书 实验4使用Altium Designer 绘制电路原理图 一、实验目的 1、熟悉Altium Designer的软件使用界面 2、掌握Altium Designer的原理图绘制流程及方法 二、实验原理 机器狗控制板的前端电路是主要由多个三极管构成的触发脉冲 产生电路,如图4-1所示。咪头S1采集声音信号,经电容C1耦合送入由 三极管Q1与电阻R1、R2、R5组成的单管共射放大电路,声音信号经放 大电路放大后再经电容C2耦合作为三极管Q2的基极控制电压。如果控 制电压足够大,则Q2管发射结导通,Q2管处于饱和状态,集电极电压为 低电平,经接头P2的1脚送出去触发后端的单稳态触发器;如果控制电 压不够大,Q2管发射结不导通,Q2管处于截止状态,集电极电压为高电 平,将无法触发单稳态触发器。 图4-1机器狗控制板前端电路原理图 1

接头P2的2脚接单稳态触发器的输出端。当单稳态触发器被触2发了,则该端接高电平,经二极管D2给电容C3充电,当C3两端电压足够高了,这三极管Q3导通,将Q2的基极电位强制拉回到低电平,Q2截止,为下一次触发做准备。但Q3导通后,电容C3放电,C3两端电压下降到一定值后,Q3截止。通过D2、C3和Q3组成的反馈控制,使得单稳态触发器可以被多次重复触发。 三、实验条件及设备 1、计算机 2、EDA设计软件Altium Designer 13 四、实验内容与操作步骤 绘制电路原理图步骤见图4-2。 图绘制电路图原理步骤

步骤2.创建原理图文件 在AD 初步.PrjPCB 项目下,执行选单命令【File ! /【New ! /【Schematic !,创建原理图 文件,并另存为“ AD 初 步.SchDoc ”。这里应注意的是做项目的思想,尽量把一个工程的文 件另存为到同一文件夹下,方便以后的管理。 进入原理图编辑器后,设计者可以通过浏览的方式熟悉环境、 各菜单命令。这里对一些 常用菜单做简单说明。 申.D 埜P File £dit 里iew Project Place Resign Tods Simulator Reports ^Vindow 旦elp 图4-4常用工具栏1 如图4-4 ,【File !是对项目创建管理的窗口, 【Edit !是对画原理图时对其一些功能的编 辑,【View 】具有查看、放大、缩小的功能, 【Project 】可以对原理图进行编译,检查错误, 【Place !中有一些常用器件,可直接放置, 【Design !可以进行一些高级设计,【Tools !平时 用得比较多点,可以对元器件进行自动排序,查看元器件的封装等。 j 弋卞弼卜删旺平。圈■绐亦逾 图4-5常用工具栏2 如图4-5,这个工具栏可以直接对连线、总线、文本、地线、电源等进行放置。 图4-6常用工具栏3 如图4-6,这个工具栏可以直接对电阻、电容等进行放置。 步骤1.仓U 建PCB 设计项目(*PrjPCB ) Designer 创建 PCB 设计项目:Cat.PrjPCB pacel.Dsn^rk: ■* Wo rkspace PCS_ProjectL,PrjPC Project 图4-3新建项目面板 执行菜单命令【File 】/【New 】/【Project 】/【PCB Project !, 弹出项目面板。面板显示的是系统默认名 “PCB_Project1.PrjPCB'的新建项目文件, 将它另存为 其他项目文件名,如“ AD 初步PrjPCB'。在创建 PCB 工程之前也可以先创建一个 Workspace ,执行菜单命 令【File ! /【New 】/【Design Workspace 】就可以创建 一个 Workspace,在这个独立的工作环境下再重新创建 工程,但最好不要 把 workspace 和创建的PCB 工程存 在同一个根目录下。因为 workspace 包含了新建的工 启动Altium o Fii^View Structure Editor Sftgil PCE ProiectliPrjP ■ Na Documents .

相关文档
最新文档