2011年山西高考理综试题

2011年山西高考理综试题
2011年山西高考理综试题

一、填空题

1. VHDL类。(文件4类)

2. VHDL的进程(process

3.VHDL

4. VHDL

一条一条执行

5.Quartus

6.指定设计电路的输入/

7.当前最流行的并成为IEEE标准的硬件描述语言包括

8.状态机根据输出与内部器件和输入之间的关系来分可以分为

9.位类型(BIT)的取值只有

10. 多个进程之间进行并行联系是通过

11. variable只能在signal的使用和定义的范围是实体,

12. IEEE库中预定义的标准逻辑位的逻辑共有

13. FPGA的一般采用

14. 在VHDL

个部分组成

15. 对CPLD FPGA配置的目标文件扩展名为

16. 设D0为‘0’,D1为‘1’,D2为‘1’,D3为‘0’,D3&D2&D1&D0的运算结果是:

(D3 or D2)and(D1 and not D0

17.VHDL的数据STD_LOGIC定义了,取值‘X’

18. VHDL

19. VHDL

20.

21.

22. 在VHDL

23. 在VHDL的端口声明语句中,端口方向包括

二、选择题

1.VHDL程序设计结构体的基本功能是:(C)

A.声明结构体中将用到的数据类型,元件,常数,子程序等

B.定义本设计的输入/输出端口

C.说明设计内部结果与外部端口间的逻辑关系

D.为本设计特定的结构体

2. 在下列操作中,最高优先级的运算操作符是(C)

A.AND

B.OR

C.NOT

D.*

3.进程中的变量赋值语句,其变量更新是(A)

A.立即完成;

B.按顺序完成;

C.在进程的最后完成;

D.都不对

4. 在一个VHDL设计中idata是一个信号,数据类型为std_logic_vector,试指出下面赋值语句错误的是(D)

A.idata<=“00001111”

B.idata<=B“0000_1111”

C.idata<=X“AB”

D.idata<=B“21”

5. 下述哪个语句用于描述组合电路(C)

A.case is when

B.if then elsif then

C.when else

D.if then

6. 在下列类型的语句中不属于顺序语句的是(C)

A.赋值语句

B.LOOP语句

C.进程语句

D.CASE语句

7. 执行Quartu sⅡ的(A)命令,可以在底层设计时创建元件的图形符号

A.Create Default Symbol

B.Simulator

https://www.360docs.net/doc/ae9505243.html,piler

D.Timing Analvzer

8. 在VHDL语言中,用语句(B)表示检测到时钟clk的上升沿

A.clk’event

B.rising edge(clk)

C.clk=‘0’

D.clk=‘1’

9. 在VHDL中为目标signal赋值符号为(B)

A.=

B.<=

C.I:=

D.=:

10. 假设输入信号a=6,b=E,则以下程序执行后,c的值为(B)

Entity logic is

Port(a,b:in std_logic_vector(3 downto 0);

C:out sed_logic_vector(7 downto 0));

End logic;

Architecture a of logic is

Begin

C(0)<=a(0);

C(2 downto 1)<=a(2 downto 1) and b(2 downto 1);

C(3)<=‘1’xor b(3);____________xor表示异或

C(7 downto 4)<=“1111”when (a(2)=b(2)) else“0000”;

End a;

A.F8

B.F6

C.F7

D.0F

11. 规模可编程器件主要有FPGA,CPLD两类下列对FPGA结构与工作原理的描述中,正确的是(B)

A.FPGA即是现场可编程逻辑器件的英文简称

B.FPGA是基于查找表结构的可编程逻辑器件

C.早期的CPLD是从GAL的结构扩展而来

D.在Altera公司生产的器件中,FLEX10K系列属CLPD结构

12. 下面哪种VHDL库使用时不需要声明(C)

A.IEEE库

B.ASIC库

C.WORK库

D.ALTERA库

13. 嵌套使用IF语句,其综合结果可实现(A)

A.带优先级且条件相与的逻辑电路

B.条件相或的逻辑电路

C.三态控制电路

D.双向控制电路

14. 在IEEE预定义的标准逻辑位数据中“Z”表示(D)

A.强未知的

B.强0

C.强1

D.高阻态

15. 类属说明的正确格式是:(B)

A.GENERIC(delay:TIME=20us)

B. GENERIC(delay:TIME:=20us)

C.GENERIC(delay TIME=20us)

D. GENERIC(delay=TIME:=20us)

16. 用于下载到目标芯片进行硬件调试的文件类型是(A)

A.scf

B.bdf

C.vhd

D.v

17. 在VHDL中用(D)来把特定的结构体关联到一个确定的实体

A.输入

B.输出

C.综合

D.配置

18. 能反馈输出信号至内部的端口模式是(C)

A.IN

B.OUT

C.BUFFER

D.INOUT

19. 在VHDL中为目标Variable赋值符号(C)

A.=

B.<=

C.:=

D.=:

20. 进程中对Signal进行赋值,其更新是(C)

A.立即完成;

B.按顺序完成;

C.在进程的最后完成;

D.都不对

21.VHDL语言中,下列对时钟边沿检测描述中,错误的是(D)

A.if clk’event and clk ‘1’then

B.if falling edge(clk) then

C.if clk’event and clk ‘0’then

D. if clk’stable and not clk ‘1’then

22. 若s1为“1011”,s2为“0101”,下面程序执行后,outValue输出结果为:(B)Liberary ieee;

Use ieee.std_logic_1164.all;

Entity ex is

Port( s1:in std_logic_vector(3 downto 0);

S2: in std_logic_vector(0 to 3)

OutValue:out std_logic_vector(3 downto 0));

End ex;

…………………….

outValue(3 downto 0)<=(s1(2 downto 0) and not s2(1 to 3) and s2(0));

end rtl

A.0101

B.O100

C.0001

D.0000

三、EDA名词解释,写出下列缩写的中文(或者英文)含义。

1.FGPA:现场可编程门阵列

2.EDA:电子设计自动化

3.VHDL:超高速硬件描述语言

4.ASIC:专用集成电路

5.CPLD:复杂可编程逻辑器件

6.EAB:嵌入式阵列块

7.RIT:寄存传输级

四、根据题目要求完成如下:

1. 阅读下列VHDL程序,画出相应RTL图

Library ieee;

Use ieee.std_logic_1164.all;

Entity lfsr is

Port(clk,clr,d:in std_logic;

Mount:out std_logic);

End lfsr;

Architecture rtl of lfsr is

signal sreg : std_logic;

begin

Process(clk,clr)

variable s : std_logic;

Begin

If clr=‘1’then s:=‘0’;

Elsif rising_edge(clk) then

S:=sreg xor (not d);

End if;

Sreg<=s;

End process;

Mout<=sreg;

End rtl;

2. 阅读下列VHDL程序,画出相应RTL图,并说明其功能

Entity quansub is

Port(a,b,c_in:in bit;

Sub,c_out:out bit);

End quansub

Architecture one of quansub is

Procedure bansub(signal x,y:in bit;signal s,c:out bit) is Begin

S<=x xor y after 5ns

C<=(not x) and y after 5ns;

End procedure bansub;

Procedure orgate(singal m,n:in bit; signal o:out bit) is Begin

0<=m or n;

End Procedure orgate;

Signal temp1,temp2,temp3:bit;

Begin

U0:bansub(a,b,temp1,temp2);

U1:bansub(temp1,c_in,sub,temp3);

U2:bansub(temp1,temp2,c_out);

End one;

五、VHDL程序改错

1)library ieee;

2)Use ieee.std_logic_1164.all;

3)Entity moor is

4)Port(datain:in std_logic_vector(1 downto 0)

5)Clk,rst:in std_logic;

6)Q:out std_logic_vector(2 downto 0));

7)End moor;

8)Architecture behave of moor is

9)Signal st_type is (st0,st1,st2);

10)Signal c_st:st_tytp;

11)Begin

12)Process(clk,rst)

13)Begin

14)If rst=‘1’then c_st<=st0;q<=“0000”;

15)Elsif clk’event and clk=‘1’then

16)Case c_st is

17)When st0=> if datain=“10”then c_st<=st1;

18)Else c_st<=st0;

19)End if; Q<=“1001”;

20)When st1=> if datain=“11”then c_st<=st2;

21)Else c_st<=st1;

22)End if; Q<=“0101”;

23)When st2=> if datain=“01”then c_st<=st3;

24)Else c_st<=st0;

25)End if; Q<=“1001”;

26)End case

27)End if;

28)End process;

29)End behave;

在程序中存在三处错误,试指出出错对应的行数,并说明理由:

第6行:数据宽度不符合

第9行:状态机数据类型声明错误,关键字应为TYPE

第26行:case语句缺少 when others处理异常状态情况

修改相应行的错误程序(如果是缺少语句请指出对应的行数)

错误1 行号:6程序改为:q:out std_logic_vector(3 downto 0)错误2 行号:9程序改为:signal 改为 TYPE

错误3 行号:26程序改为:之前添加一句when others=>c_st<=st0;

library ieee;

Use ieee.std_logic_1164.all;

Entity mux41 is

Port(s1,s2:in std_logic;

A,b,c,d:in std_logic;

Y:out std_logic;——————————加个“)”

End mux41;

Architecture beh of mux41 is

Signal s:std_logic_vector(2 downto 0);————————改为(1 downto 0)Begin

S<=s1&s2;

Process(d)————————————————改为process(s)

Begin

Case s is

When “00”=>y<=a;

When “01”=>y<=b;

When “10”=>y<=c;

When “11”=>y<=d;

When others=>y<=‘X’;

End case;

End ;———————————————————改为end process;

End beh;

library ieee;

Use ieee.std_logic_1164.all;

Entity yima3_8 is

Port(a,b,c,g1.g2a.g2b:in std_logic;

Y:out std_logic_vector(7 to 0));————————改为(7 downto 0)

End yima3_8;

Architecture one of XX is——————————XX改为yima3_8

Variable indata:std_logic_vector(2 downto0);——————Variable改为signal Begin

Indata>=c&b&a;

Process(indata)

Begin

If(g1=’1’ and g2a=’0’and g2b=’0’) then

Case indata is

When “000”=>y<=“11111110”;

When “001”=>y<=“11111101”;

When “010”=>y<=“11111011”;

When “011”=>y<=“11110111”;

When “100”=>y<=“11101111”;

When “101”=>y<=“11011111”;

When “110”=>y<=“10111110”;

When “111”=>y<=“01111111”;

When others=>y<=“Z”;————————————-改为“ZZZZZZZZ”

End case;

Else y<=“11111111”;

——————————————————添加一句“end if”

End one;

六、1)设计一数据选择器MUX,其系统模块图和功能表如下图所示试采用下面三种方式中的两种来描述该数据选择器MUX的结构体。

(a)用if语句(b)用case语句 (c)用when else语句

library ieee;

Use ieee.std_logic_1164.all;

Entity mymux is

Port(sel: in std_logic_vector(1 downto 0);

Ain ,bin:in std_logic_vector(1 downto 0);

Cout :out std_logic_vector(1 downto 0));

End mymux;

Architecture one of mymux is

Begin

Process(sel,ain,bin)

Begin

If sel=“00” then cout<=ain and bin;

Elsif sel=“01” then cout<=ain xor bin;

Elsif sel=“10” then cout<=not ain;

Else cout<=not bin;

End if;

End process;

End one;

Architecture two of mymux is

Begin

Process(sel,ain,bin)

Begin

Case sel is

When “00”=>cout<=ain and bin;

When “01”=> cout<=ain xor bin;

When “10”=>cout<=not ain;

when others=>cout<=not bin;

End process;

End two;

Architecture three of mymux is

Begin

Cout<=ain and bin when sel=“00”else ain xor bin when sel=“01”else

not ain when sel=“10”else

not bin;

End three;

2)看下面原理图,补充完相应VHDL描述。

DFF为上升沿触发,LATCH为高电平选通

library ieee;

Use ieee.std_logic_1164.all;

Entity mycir is

Port(A,B,clk: in std_logic;

Q:out std_logic);

End mycir;

Architecture beh of mycir is

Signal ta,tc;

Begin

tc<=ta nand B;

Process(clk)

Begin

if clk’event and clk=‘1’then

ta<=A;

End if;

End process;

Process(clk,tc)

Begin

If clk=‘1’then

Q<=tc;

End if;

End process;

End beh;

3.利用元件例化和生成语句完成74373的8位三态锁存器的设计 D0D1D2D3D4D5D6D7OEN G Q0Q1Q2Q3Q4Q5Q6Q7

74373若OEN=1,则Q7~Q0输出为高阻态;

若OEN=0,则Q7~Q0输出为保存在锁存器中的信号值;若G=1,则D7~D0输入端信号进入8位锁存器中;

若G=0,则8位锁存器保持原先锁入的信号值不变。——1位锁存器LATCH 的逻辑描述

library ieee;

Use ieee.std_logic_1164.all;

Entity latch is

Port(D,ENA: in std_logic;

Q:out std_logic);

End entity latch;

Architecture one of latch is

Signal sig:std_logic;

Begin

Process(D,ENA)

Begin

If ENA=‘1’then sig<=d;

End if;

Q<=sig;

End process;

End Architecture one;

-- 8位锁存器74373逻辑描述

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY SN74373 IS

PORT ( D : IN STD_LOGIC_VECTOR( 7 DOWNTO 0 );

OEN ,G : IN STD_LOGIC;

Q : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END SN74373;

ARCHITECTURE one OF SN74373 IS

COMPONENT Latch

PORT ( D, ENA : IN STD_LOGIC;

Q : OUT STD_LOGIC );

END COMPONENT;

SIGNAL sig: STD_LOGIC_VECTOR( 8 DOWNTO 1 );

BEGIN

FOR i IN 7 DOWNTO 0 GENERATE Latch PORT MAP(D( i ), G, sig ( i ));

END GENERATE;

Q <= sig WHEN OEN = '0' ELSE "ZZZZZZZZ";

END ARCHITECTURE one;

4、用VHDL语言设计一个电梯电路模拟其控制逻辑,下图a为该VHDL电路的设计模块图。

图a控制器设计模块图

图a中cnt100控制电梯开关门延时,elev2为电梯状态控制器。电梯闸门由打开到关闭或

由关闭到打开时,elev2模块向cnt100输出一个en计数使能信号(高电平有效)。cnt100

计数溢出(≥100)时输出cout信号(高电平有效),同时cnt100计数停止。cnt100仿真

波形如下图所示。

cnt100的实体描述如下所示:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cnt100 is

port ( clk, en :in std_logic; -- 时钟、使能信号

cout : out std_logic); -- 溢出信号

end cnt100;

问题1,补充完整cnt100的结构体设计。

architecture one of cnt100 is

begin

process (clk, en)

variable q : std_logic_vector (7 downto 0);

begin

if en = ‘0’ then q := (others => ‘0’);

elsif clk’event and clk = ‘1’ then q := q + 1;

end if;

if q = 100 then cout <= ‘1’;

else cout <= ‘0’;

end if;

end process;

end one;

以下是elev2模块的VHDL实体描述(结构体部分省略):

entity elev2 is

port (clk, rst : in std_logic; -- 时钟、复位信号

cout : in std_logic; -- 定时溢出信号

door : out std_logic; -- 门控信号,低电平开门

up : out std_logic; -- 上升信号

down : out std_logic; -- 下降信号

en : out std_logic); -- 延时计数清零、使能信号end elev2;

问题2 根据图a所示控制模块图,写出该控制器的VHDL顶层描述:

Library ieee;

Use ieee.std_logic_1164.all;

Entity elev is

Port (clk, rst : in std_logic;

door, up, down : out std_logic );

End elev;

Architecture one of elev is

component cnt100

PORT( clk, en : IN STD_LOGIC;

cout : OUT STD_LOGIC );

END component;

component elev2 is

port (clk, rst : in std_logic;

cout : in std_logic;

door : out std_logic;

up : out std_logic;

down : out std_logic;

en : out std_logic);

end component;

signal ena, cout : std_logic;

begin

u1 : cnt100 port map (clk, ena, cout);

u2 : elev2 port map (clk, rst, cout, door, up, down, ena);

end one;

5. 根据原理图写出相应的VHDL程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL; ————————声明算术运算包

USE IEEE.STD_LOGIC_UNSIGNED.ALL; ————————声明无符号数包

ENTITY ysq_8 IS

PORT( X, Y:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CTRL:IN STD_LOGIC; ————————控制运算方式

CBI:IN STD_LOGIC; ————————低位的进位或借位标志位Z:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

CBO:OUT STD_LOGIC ————————高位进位或借位标志位);

END ysq_8;

ARCHITECTURE one OF ysq_8 IS

SIGNAL XX:STD_LOGIC_VECTOR(8 DOWNTO 0);

SIGNAL YY:STD_LOGIC_VECTOR(8 DOWNTO 0);

SIGNAL ZZ:STD_LOGIC_VECTOR(8 DOWNTO 0);

BEGIN

XX<='0'&X; ——————————————扩展信号

YY<='0'&Y;

PROCESS(XX,YY,CTRL,CBI)

BEGIN

IF CTRL='0' THEN ————————为“0”执行加法

ZZ<=XX+YY+CBI;

ELSE

ZZ<=XX-YY-CBI; ——————为“1”做减法

END IF;

END PROCESS;

Z<=ZZ(7 DOWNTO 0);

CBO<=ZZ(8);

END one;

2020高考理科综合模拟测试题及答案

注意事项: 1.答卷前,考生务必将自己的姓名、准考证号填写在答题卡上。 2.作答时,务必将答案写在答题卡上。写在本试卷及草稿纸上无效。 3.考试结束后,将本试卷和答题卡一并交回。 可能用到的相对原子质量:H-1 C-12 O-16 Na-23 Ca-40 Cl-35.5 Fe-56 1.下列关于细胞结构与功能共性的叙述错误的是 A.遗传信息都贮存在DNA中 B.都具有与细胞呼吸有关的酶 C.都具有复杂的生物膜系统 D.都存在ATP与ADP的相互转化 2.在生物体内,下列生理活动只能单向进行的是 A.生长素在胚芽鞘中的极性运输 B.DNA和RNA之间互为模板合成 C.质壁分离过程中水分子的扩散 D.活细胞内ATP与ADP的转化 3.下列关于生物变异、育种的叙述,正确的是 A.联会时的交叉互换实现了染色体上等位基因的重新组合 B.无子果实的获得均要用到生长素,变异类型均为染色体的数目变异 C.中国荷斯坦牛、青霉素高产菌株和转基因抗虫棉的培育依据的原理相同D.育种可以培育出新品种,也可能得到新物种 4.下列关于人体细胞生命历程的叙述,错误的是 A.衰老的红细胞水分减少,细胞体积增大,酶活性下降 B.从遗传物质的角度分析,分化的细胞中遗传物质没有发生改变

C.细胞的正常分化、衰老、凋亡均有助于机体维持自身的稳定 D.癌变细胞的细胞膜上的糖蛋白含量减少,细胞周期变短 5.科研人员分别使用不同浓度的IAA合成抑制剂处理豌豆茎切段得到茎的伸长量如下图所示。下列相关叙述错误的是 A.未添加抑制剂前,茎切段中内源IAA的浓度大于最适浓度 B.20 mg·L-1的IAA合成抑制剂能直接促进茎切段生长 C.40 mg·L-1的lAA合成抑制剂对茎切段的伸长生长无明显的作用 D.160 mg·L-l的IAA合成抑制剂作用下,茎切段中IAA浓度低于最适浓度 6.某女性患者患有腓骨肌萎缩症(CMT)(是一种神经遗传病),此病遗传方式有多种类型。 患者的爸爸、哥哥、妹妹不患病,但母亲患病,则CMT 遗传病的遗传方式不可能是A.伴X显性遗传B.伴X隐性遗传 C.常染色体显性遗传D.常染色体隐性遗传 7.废电池投入的垃圾桶上应贴有的垃圾分类标志是 A B C D 8.用N A代表阿伏加德罗常数的数值。下列说法正确的是 A.3.6 g CaO2晶体中阴离子的数目为0.1N A

(高考理综模拟试题10份合集)陕西省高考理综模拟试题试卷合集

高考理综模拟试题 一、选择题:本题共13小题,每小题6分。在每小题给出的四个选项中,只有一项是符合题目要求的。 1.下列关于真核细胞结构和功能的叙述,正确的是 A.分泌蛋白的加工与内质、高尔基体有关 B.细胞核是细胞遗传和代谢的主要场所 C.所有细胞都具有复杂的生物膜系统 D.细胞膜的功能特性与膜蛋白有关而与磷脂分子无关 2.下列关于光合作用的叙述,错误的是 A.鲁宾和卡门用同位素标记法证明了光合作用释放的氧气来自水 B.一般情况下,光合作用所利用的光都是可见光 C.在暗反应阶段,C3可被[H]还原为C5和糖类 D.温度的变化不会影响光合作用的光反应阶段 3.在观察根尖分生组织细胞的有丝分裂实验中,某同学在视野中观察到右图所示的细胞 图像,并作出如下分析,你认为错误的是 A.根据细胞的形态可以判断观察部位是分生区 B.视野中处于分裂期的细胞较少,因为分裂期时间相对间期短 C.视野中细胞分散较好是解离和压片的结果 D.视野中被标注的三个细胞中染色体数目相同 4.下列关于植物激素应用的叙述,错误的是 A.对果树进行打顶处理,可使其树形开展、多结果 B.用乙烯处理豌豆黄化幼苗茎切段,可抑制其伸长 C.用脱落酸处理成熟的豌豆种子,可促其萌发 D.用赤霉素处理大麦种子,可使其无需发芽就产生淀粉酶 5.下列关于DNA的叙述,正确的是 A.DNA的基本骨架由C、H、O、N、P等元素组成 B.连接磷酸与五碳糖的化学键可在解旋酶的作用下断裂 C.DNA的片段都有遗传效应,可控制生物的性状 D.DNA的复制和转录都能在细胞质中进行 6.人类β型地中海贫血症的病因是血红蛋白中的珠蛋白β链发生了缺损,是一种单基因遗传病,β珠蛋白基因有多种突变类型。甲患者的β链17~18位缺失了赖氨酸、缬氨酸;乙患者β珠蛋白基因中发生了一个碱基对

2017年全国高考理综试题及答案-全国1卷

绝密★ 启用前 2017 年普通高等学校招生全国统一考试 理科综合能力测试 注意事项: 1.答卷前,考生务必将自己的姓名、准考证号填写在答题卡上。2.回答选择题时,选出每小题答案后,用铅笔把答题卡上对应题目的答案标号涂黑,如需改动,用橡皮擦干净后,再选涂其它答案标号。回答非选择题时,将答案写在答题卡上,写在本试卷上无效。。 3.考试结束后,将本试卷和答题卡一并交回。 可能用到的相对原子质量:H 1 C 12 N 14 O 16 S 32 Cl35.5 K39 Ti 48 Fe 56 I 127 一、选择题:本题共13个小题,每小题 6 分,共78分。在每小题给出的四个选项中,只有一项是 符合题目要求的。 1.细胞间信息交流的方式有多种。在哺乳动物卵巢细胞分泌的雌激素作用于乳腺细胞的过程中,以及精子进入卵细胞的过程中,细胞间信息交流的实现分别依赖于 A. 血液运输,突触传递 B.淋巴运输,突触传递 C.淋巴运输,胞间连丝传递 D.血液运输,细胞间直接接触 2. 下列关于细胞结构与成分的叙述,错误的是 A. 细胞膜的完整性可用台盼蓝染色法进行检测 B. 检测氨基酸的含量可用双缩脲试剂进行显色 C. 若要观察处于细胞分裂中期的染色体可用醋酸洋红液染色 D. 斐林试剂是含有C『+的碱性溶液,可被葡萄糖还原成砖红色 3. 通常,叶片中叶绿素含量下降可作为其衰老的检测指标。为研究激素对叶片衰老的影响,将某植 物离体叶片分组,并分别置于蒸馏水、细胞分裂素(CTK)、脱落酸(ABA)、CTK+ABA 溶液中,再将各组置于光下。一段时间内叶片中叶绿素含量变化趋势如图所示,据图判断,下列叙述错误的是

2018届高考理综模拟试题

内蒙古包头市第四中学2018届高考理综模拟试题 本试卷分第Ⅰ卷(选择题)和第Ⅱ卷(非选择题)两部分。其中第Ⅱ卷第33~40题为选考题,其它题为必考题。考生作答时,将答案写在答题卡上,在本试卷上答题无效。 第Ⅰ卷(共126分) 有关元素的相对原子质量是: H-1 C-12 N-14 O-16 F-19 Na-23 S-32 Cl-35.5 K-39 Ca-40 Fe-56 Zn-65 一、选择题:本题包括13小题。每小题6分,共78分,每小题只有一个选项符合题意。 1.比较胚胎干细胞与胰腺腺泡细胞,相同的是( ) A.线粒体的功能 B.发育的全能性 C.膜蛋白的种类和数量 D.内质网上核糖体的数量 2.我国古代劳动人民积累的丰富农业生产经验,至今许多仍在实践中应用。下列叙述与植物激素作用无直接关系的是( ) A.适时打顶去心,可促植株开花结实。(据《农桑辑要》) B.肥田之沃,种绿豆最佳,小豆、芝麻次之。(据《齐民要术》) C.正月种白稻,五月收获后,根茬长新稻,九月又成熟。(据《广志》) D.前摘未熟红杮,每篮放木瓜两三枚,得气即发,涩味尽失。(据《格物粗谈》) 3. 人或动物PrP基因编码一种蛋白(PrP c),该蛋白无致病性。 PrP c的空间结构改变后成为PrP Bc(朊粒), 就具有了致病性。PrP Bc可以诱导更多PrP c的转变为PrP Bc,实现朊粒的增——可以引起疯牛病.据此判——下列叙述正确的是( ) A.朊粒侵入机体后可整合到宿主的基因组中 B.朊粒的增殖方式与肺炎双球菌的增殖方式相同 C.蛋白质空间结构的改变可以使其功能发生变化 D. PrP c转变为PrP Bc的过程属于遗传信息的翻译过程 4.下列与实验有关的叙述,正确的是( ) A.人的口腔上皮细胞经处理后被甲基绿染色,其细胞核呈绿色 B.剪取大蒜根尖分生区,经染色在光镜下可见有丝分裂各时期 C.叶绿体色素在层析液中的溶解度越高,在滤纸上扩散就越慢 D.在光镜的高倍镜下观察新鲜菠菜叶装片,可见叶绿体的结构 5. 大豆植株的体细胞含40条染色体。用放射性的60Co处理大豆种子后,筛选出一株抗花叶病的植株X, 取其花粉经离体培养得到若干单倍体植株,其中抗病植株占50%。下列叙述正确的是( )

2018全国3卷高考理综试题及答案【真题试卷】

2018年普通高等学校招生全国统一考试 理科综合能力测试 注意事项: 1.答卷前,考生务必将自己的姓名、准考证号填写在答题卡上。 2.回答选择题时,选出每小题答案后,用铅笔把答题卡上对应题目的答案标号涂黑,如需改动,用橡皮擦干净后,再选涂其它答案标号。回答非选择题时,将答案写在答题卡上,写在本试卷上无效。。 3.考试结束后,将本试卷和答题卡一并交回。 可能用到的相对原子质量:H 1 C12N14O16 Na 23 Mg 24 Al 27 S 32 Cr 52 Zn 65I 127 一、选择题:本题共13个小题,每小题6分,共78分。在每小题给出的四个选项中,只有一项是符合题目要求的。 1.下列研究工作中由我国科学家完成的是 A.以豌豆为材料发现性状遗传规律的实验 B.用小球藻发现光合作用暗反应途径的实验 C.证明DNA是遗传物质的肺炎双球菌转化实验 D.首例具有生物活性的结晶牛胰岛素的人工合成 2.下列关于细胞的结构和生命活动的叙述,错误的是 A.成熟个体中的细胞增殖过程不需要消耗能量 B.细胞的核膜、内质网膜和细胞膜中都含有磷元素 C.两个相邻细胞的细胞膜接触可实现细胞间的信息传递 D.哺乳动物造血干细胞分化为成熟红细胞的过程不可逆 3.神经细胞处于静息状态时,细胞内外K+和Na+的分布特征是 A.细胞外K+和Na+浓度均高于细胞内 B.细胞外K+和Na+浓度均低于细胞内 C.细胞外K+浓度高于细胞内,Na+相反 D.细胞外K+浓度低于细胞内,Na+相反 4.关于某二倍体哺乳动物细胞有丝分裂和减数分裂的叙述,错误的是 A.有丝分裂后期与减数第二次分裂后期都发生染色单体分离 B.有丝分裂中期与减数第一次分裂中期都发生同源染色体联会 C.一次有丝分裂与一次减数分裂过程中染色体的复制次数相同 D.有丝分裂中期和减数第二次分裂中期染色体都排列在赤道板上 5.下列关于生物体中细胞呼吸的叙述,错误的是 A.植物在黑暗中可进行有氧呼吸也可进行无氧呼吸 B.食物链上传递的能量有一部分通过细胞呼吸散失 C.有氧呼吸和无氧呼吸的产物分别是葡萄糖和乳酸 D.植物光合作用和呼吸作用过程中都可以合成ATP 6.某同学运用黑光灯诱捕的方法对农田中具有趋光性的昆虫进行调查,下列叙述错误的是 A.趋光性昆虫是该农田生态系统的消费者 B.黑光灯传递给趋光性昆虫的信息属于化学信息 C.黑光灯诱捕的方法可用于调查某种趋光性昆虫的种群密度

2019年高考理综试题(Word版含答案解析)

2019年普通高等学校招生全国统一考试(吉林卷) 理科综合能力测试 注意事项: 1.答卷前,考生务必将自己的姓名、准考证号填写在答题卡上。 2.回答选择题时,选出每小题答案后,用铅笔把答题卡上对应题目的答案标号涂黑,如需改动,用橡皮擦干净后,再选涂其它答案标号。回答非选择题时,将答案写在答题卡上,写在本试卷上无效。。 3.考试结束后,将本试卷和答题卡一并交回。 可能用到的相对原子质量:H 1 C 12 N 14 O 16 F 19 Na 23 S 32 Cl 35.5 As 75 I 127 Sm 150 一、选择题:本题共13个小题,每小题6分。共78分,在每小题给出的四个选项中,只有 一项是符合题目要求的。 1.在真核细胞的内质网和细胞核中能够合成的物质分别是 A.脂质、RNA B.氨基酸、蛋白质 C.RNA、DNA D.DNA、蛋白质 2.马铃薯块茎储藏不当会出现酸味,这种现象与马铃薯块茎细胞的无氧呼吸有关。下列叙述正确的是 A.马铃薯块茎细胞无氧呼吸的产物是乳酸和葡萄糖 B.马铃薯块茎细胞无氧呼吸产生的乳酸是由丙酮酸转化而来 C.马铃薯块茎细胞无氧呼吸产生丙酮酸的过程不能生成ATP D.马铃薯块茎储藏库中氧气浓度的升高会增加酸味的产生 3.某种H﹢-ATPase是一种位于膜上的载体蛋白,具有ATP水解酶活性,能够利用水解ATP 释放的能量逆浓度梯度跨膜转运H﹢。①将某植物气孔的保卫细胞悬浮在一定pH的溶液中(假设细胞内的pH高于细胞外),置于暗中一段时间后,溶液的pH不变。②再将含

有保卫细胞的该溶液分成两组,一组照射蓝光后溶液的pH明显降低;另一组先在溶液中加入H﹢-ATPase的抑制剂(抑制ATP水解),再用蓝光照射,溶液的pH不变。根据上述实验结果,下列推测不合理的是 A.H﹢-ATPase位于保卫细胞质膜上,蓝光能够引起细胞内的H﹢转运到细胞外 B.蓝光通过保卫细胞质膜上的H﹢-ATPase发挥作用导致H﹢逆浓度梯度跨膜运输 C.H﹢-ATPase逆浓度梯度跨膜转运H﹢所需的能量可由蓝光直接提供 D.溶液中的H﹢不能通过自由扩散的方式透过细胞质膜进入保卫细胞 4.当人体失水过多时,不会发生的生理变化是 A.血浆渗透压升高 B.产生渴感 C.血液中的抗利尿激素含量升高 D.肾小管对水的重吸收降低 5.某种植物的羽裂叶和全缘叶是一对相对性状。某同学用全缘叶植株(植株甲)进行了下列四个实验。 ①植株甲进行自花传粉,子代出现性状分离 ②用植株甲给另一全缘叶植株授粉,子代均为全缘叶 ③用植株甲给羽裂叶植株授粉,子代中全缘叶与羽裂叶的比例为1∶1 ④用植株甲给另一全缘叶植株授粉,子代中全缘叶与羽裂叶的比例为3∶1 其中能够判定植株甲为杂合子的实验是 A.①或② B.①或④ C.②或③ D.③或④ 6.如果食物链上各营养级均以生物个体的数量来表示,并以食物链起点的生物个体数作层来绘制数量金字塔,则只有两个营养级的夏季草原生态系统(假设第一营养级是牧草,第二营养级是羊)和森林生态系统(假设第一营养级是乔木,第二营养级是昆虫)数量金字塔的形状最可能是 A.前者为金字塔形,后者为倒金字塔形 B.前者为倒金字塔形,后者为金字塔形 C.前者为金字塔形,后者为金字塔形

2018年高考理综真题及答案(全国卷)

2018年高考理综真题及答案(全国卷) 注意事项: 1.答卷前,考生务必将自己的姓名、准考证号填写在答题卡上。 2.回答选择题时,选出每小题答案后,用铅笔把答题卡上对应题目的答案标号涂黑,如需改动,用橡皮擦干净后,再选涂其它答案标号。回答非选择题时,将答案写在答题卡上,写在本试卷上无效。。 3.考试结束后,将本试卷和答题卡一并交回。学·科网 可能用到的相对原子质量:H 1 Li 7 C 12 N 14 O 16 Na 23 S 32 Cl 35.5 Ar 40 Fe 56 I 127 一、选择题:本题共13个小题,每小题6分。在每小题给出的四个 选项中,只有一项是符合题目要求的。 1.生物膜的结构与功能存在密切的联系。下列有关叙述错误的是A.叶绿体的类囊体膜上存在催化ATP合成的酶 B.溶酶体膜破裂后释放出的酶会造成细胞结构的破坏 C.细胞的核膜是双层膜结构,核孔是物质进出细胞核的通道D.线粒体DNA位于线粒体外膜上,编码参与呼吸作用的酶2.生物体内的DNA常与蛋白质结合,以DNA—蛋白质复合物的形式存在。下列相关叙述错误的是 A.真核细胞染色体和染色质中都存在DNA—蛋白质复合物B.真核细胞的核中有DNA—蛋白质复合物,而原核细胞的拟核

中没有 C.若复合物中的某蛋白参与DNA复制,则该蛋白可能是DNA 聚合酶 D.若复合物中正在进行RNA的合成,则该复合物中含有RNA 聚合酶 3.下列有关植物根系吸收利用营养元素的叙述,错误的是 A.在酸性土壤中,小麦可吸收利用土壤中的N2和NO-3 B.农田适时松土有利于农作物根细胞对矿质元素的吸收 C.土壤微生物降解植物秸秆产生的无机离子可被根系吸收D.给玉米施肥过多时,会因根系水分外流引起“烧苗”现象4.已知药物X对细胞增值有促进作用,药物D可抑制药物X的作用。 某同学将同一瓶小鼠皮肤细胞平均分为甲、乙、丙三组,分别置于培养液中培养,培养过程中进行不同的处理(其中甲组未加药物),每隔一段时间测定各组细胞数,结果如图所示。据图分析,下列相关叙述不合理的是 A.乙组加入了药物X后再进行培养 B.丙组先加入药物X,培养一段时间后加入药物D,继续培养C.乙组先加入药物D,培养一段时间后加入药物X,继续培养D.若药物X为蛋白质,则药物D可能改变了药物X的空间结构

辽宁省高考理综试卷及答案

2012年普通高等学校招生全国统一考试理科综合能力测试本试卷分第I 卷(选择题)和第II 卷 (非选择题)两部分。第I 卷1至8 页,第II 卷9 至16页,共300 分。 考生注意: 1.答题前,考生务必将自己的准考证号、姓名填写在答题卡上。考生要认真核对答题卡上粘贴的条形码的“准考证号、姓名、考试科目”与考生本人准考证号、姓名是否一致。 2.第I 卷每小题选出答案后,用2B 铅笔把答题卡上对应题目的答案标号涂黑,如需改动,用橡皮擦干净后,再选涂其他答案标号。第II 卷用黑色墨水签字笔在答题卡上书写作答,在试题卷上作答,答案无效。 3.考试结束,监考员将试题卷、答题卡一并收回。 第I卷 一、选择题: 1.同一物种的两类细胞各产生一种分泌蛋白,组成这两种蛋白质的各种氨基酸含量相同,但排列顺序不同。其原因是参与这两种蛋白质合成的是 (B)A.tRNA 种类不同 B. mRNA 碱基序列不同 C.核糖体成分不同 D.同一密码子所决定的氨基酸不同 2.下列关于细胞癌变的叙述,错误的是(D)A.癌细胞在条件不适宜时可无限增殖 B.癌变前后,细胞的形态和结构有明显差别 C.病毒癌

基因可整合到宿主基因组诱发癌变 D.原癌基因的主要功能是阻止细胞发生异常增殖 3.哺乳动物因长时间未饮水导致机体脱水时,会发生的生理现象是(B) A.血浆渗透压降低 B.抗利尿激素分泌增加 C.下丘脑渗透压感受器受到的刺激减弱 D.肾小管和集合管对水的重吸收作用减弱

4. 当人看到酸梅时唾液分泌会大量增加,对此现象的分析,错误的是 A. 这一反射过 程需要大脑皮层的参与 B. 这是一种反 射活动,其效应器是唾液腺 C. 酸梅色泽直 接刺激神经中枢引起唾液分泌 D. 这一过程中 有 “电—化学 —电”信号的转化 5. 取生长状态一致的燕麦胚芽鞘,分为 a 、b 、c 、d 四组。将 a 、 b 两组胚芽鞘尖 端下方的一段切除,再从 c 、d 两组胚芽鞘中的相应位置分别切取等长的一段, 并按图中所示分别接入 a 、b 两组胚芽鞘被切除的位置,得到 a ′、b ′两组胚芽鞘。 然后用单侧光照射,发现 a ′组胚芽鞘向光弯曲生长, b ′组胚芽鞘无弯曲生长,其 原因是 (D ) A. c 组尖端能合成生长素, d 组尖端不能 B. a 组′尖端合成生长素, b ′组尖端不能 C. c 组尖端的生长素向胚芽鞘基部运输, D. a 组′尖端的生长素能向胚芽鞘基部运输, 6. 某岛屿上生活着一种动物,其种群数量多年维持相对稳定。该动物个体从出生 到性成熟需要 6 个月。下图为某年该动物种群在不同月份的年龄结构 C ) d 组尖端的生长素不 能

2020年高考理综模拟试题(四)含答案及解析

2020年高考理综模拟试题(四) 理科综合能力测试卷共8页,满分300分。考试时间150分钟。 ★祝考试顺利★ 注意事项: 1.答题前,请考生认真阅读答题卡上的注意事项。务必将自己的姓名、考号填写在答题卡上指定位置,贴好考号条形码或将考号对应数字涂黑。用2B铅笔将试卷类型A填涂在答题卡相应位置上。 2.选择题每小题选出答案后,用2B铅笔把对应题目的答案标号涂黑。如需改动,用橡皮擦干净后,再选涂其它答案标号。答在试题卷、草稿纸上无效。 3.非选择答题用0.5毫米黑色墨水签字笔直接答在答题卡上每题对应的答题区域内,答在试题卷、草稿纸上无效。 4.考生必须保持答题卡的清洁。考试结束后,监考人员将答题卡和试卷一并收回。 可能用到的相对原子质量(相对原子量):H-1 C-12 N-14 O-16 Na-23 Ca-40 P-31 Zn-65 第Ⅰ卷(选择题共126分) 一、选择题:本大题共13小题,每小题6分,共78分。在每小题给出的四个选项中,只 有一项是符合题目要求的。 1.下列有关生物膜上蛋白质叙述,正确的是 A.细胞膜控制物质运输时必须需要载体蛋白的协助 B.类囊体膜上没有行使催化功能的蛋白质 C.线粒体的双层膜上没有转运葡萄糖的载体 D.垂体细胞膜上有识别促甲状腺激素的受体 2.下列对有关实验的描述中,正确的是 A.探究温度对酶活性影响的实验中不宜选择过氧化氢酶的原因是过氧化氢的分解与温度有关 B.叶绿体色素滤液细线浸入层析液,会导致滤纸条上色素带重叠 C.在噬菌体侵染细菌的实验中,以T2噬菌体为实验材料的原因是其缺乏独立的代谢系统 D.调查血友病的遗传方式,可在学校内对同学进行随机抽样调查 3.下列叙述正确的是 A.进行有性生殖的植物都存在伴性遗传的现象 B.基因A与其等位基因a含有的碱基数不一定相等 C.碱基对的改变属于基因突变,但不一定引起遗传信息改变 D.造成同卵双生兄弟或姐妹间性状差异的主要原因是基因重组 4.“调控植物生长--代谢平衡实现可持续农业发展”入选2018年度中国科学十大进展,其研究证实DELLA蛋白通过阻遏某些基因的转录从而抑制植物生长发育,而赤霉素能解除细胞中已经存在的DELLA蛋白的阻遏效果。以下叙述不合理的是 A.植物合成赤霉素的部位主要是未成熟的种子、幼根和幼芽 B.赤霉素与脱落酸在调控种子萌发与休眠中的作用相互拮抗 C.赤霉素通过抑制DELLA蛋白基因的表达解除其阻遏效果 D.DELLA蛋白分子上可能存在具有不同生物学功能的区域 5.miRNA是一种小分子RNA,某miRNA能抑制W基因控制的蛋白质(W蛋白)的合成。某真核细胞内形成该miRNA及其发挥作用的过程示意图如下,下列叙述错误的是

2018年高考理综试题(全国卷II)

绝密★启用前 2018年普通高等学校招生全国统一考试 理科综合能力测试 注意事项: 1.答卷前,考生务必将自己的姓名、准考证号填写在答题卡上。 2.回答选择题时,选出每小题答案后,用铅笔把答题卡上对应题目的答案标号涂黑,如需改动,用橡皮擦干净后,再选涂其它答案标号。回答非选择题时,将答案写在答题卡上,写在本试卷上无效。。 3.考试结束后,将本试卷和答题卡一并交回。学·科网 可能用到的相对原子质量:H 1 Li 7 C 12 N 14 O 16 Na 23 S 32 Cl35.5 Ar 40Fe 56 I 127 一、选择题:本题共13个小题,每小题6分。在每小题给出的四个选项中,只有一项是符 合题目要求的。 1.生物膜的结构与功能存在密切的联系。下列有关叙述错误的是 A.叶绿体的类囊体膜上存在催化ATP合成的酶B.溶酶体膜破裂后释放出的酶会造成细胞结构的破坏C.细胞的核膜是双层膜结构,核孔是物质进出细胞核的通道 D.线粒体DNA位于线粒体外膜上,编码参与呼吸作用的酶 2.生物体内的DNA常与蛋白质结合,以DNA—蛋白质复合物的形式存在。下列相关叙述错误的是 A.真核细胞染色体和染色质中都存在DNA—蛋白质复合物B.真核细胞的核中有DNA—蛋白质复合物,而原核细胞的拟核中没有C.若复合物中的某蛋白参与DNA复制,则该蛋白可能是DNA聚合酶 D.若复合物中正在进行RNA的合成,则该复合物中含有RNA聚合酶 3.下列有关植物根系吸收利用营养元素的叙述,错误的是 A.在酸性土壤中,小麦可吸收利用土壤中的N2和NO-3B.农田适时松土有利于农作物根细胞对矿质元素的吸收C.土壤微生物降解植物秸秆产生的无机离子可被根系吸收D.给玉米施肥过多时,会因根系水分外流引起“烧苗”现象 4.已知药物X对细胞增值有促进作用,药物D可抑制药物X的作用。某同学将同一瓶小鼠皮肤细胞平均分为甲、乙、丙三组,分别置于培养液中培养,培养过程中进行不同的

(完整版)高三理综模拟试卷(带完整答案)

2017-2018 学年度高三上学期第三次月考 理综试卷 考试时间: 2017 年 12 月 13 日 注意事项: 1.本试卷分第Ⅰ卷(选择题 )和第Ⅱ卷 (非选择题 )两部分。 2.答题前,考生务必将自己的姓名、准考证号填写在本试题相应的位置。 3.全部答案在答题卡上完成,答在本试题上无效。 4.考试结束后,将本试题和答题卡一并交回。 第Ⅰ卷(选择题共 126 分) 本卷共 21 小题,每小题 6 分,共 126 分。 可能用到的相对原子质量: H 1 C 12 N 14、O 16、Mg 24、Al 27、Na 23Fe 56 Cu 64 Si28 S32 Cr52 一、选择题:本大题共13 小题,每小题 6 分。在每小题给出的四个选项中,只有一项是符合题目 要求的。 1.当人所处环境温度从25℃降至 5℃,耗氧量、尿量、抗利尿激素及体内酶活性的变化依次为A.减少、减少、增加、不变 B .增加、增加、减少、不变()C.增加、减少、增加、不变D.增加、增加、减少、降低 2 .下面的①、②、③分别表示生物体内的三个生理过程,其中Q 分别代表三种物质,下列有关Q 的叙述错误的是 A .Q 可能位于细胞膜上 B . Q 中可能含有硫元素 C.①不一定发生在细胞内 D.②必须依赖三磷酸腺苷5.下图所示实验能够说明 A .效应 T 细胞的作用B.浆细胞产生抗体的作用 C.病毒刺激淋巴细胞增殖的作用 D .病毒抗原诱导 B 细胞分化的作用 6.下图是人体缩手反射的反射弧结构,方框甲代表大脑皮层、乙代表脊髓神经中枢。当手被尖锐的物体刺痛时,先缩手后产生痛觉。对此生理过程的分析正确的是 A .图中 e 为感受器, a 为效应器 B.先缩手后产生痛觉的现象说明,痛觉感觉中 枢位于甲方框处 C.受到刺激时,神经纤维 d 处膜外的电位变化 是由负电位变为正电位 D.由甲发出的传出神经纤维末端释放的神经递 质只能引起乙的兴奋 7.纵观古今,化学与生活皆有着密切联系。下列有关说法错误的是 A.“梨花淡自柳深青,柳絮飞时花满城”中柳絮的主要成分和棉花的相同 B.制作烟花的过程中常加入金属发光剂和发色剂使烟花放出五彩缤纷的颜色 C.草莓棚中使用的“吊袋式二氧化碳气肥”的主要成分可以是碳酸钙 D. 芒硝晶体 (Na2 SO4·10H2O)白天在阳光下曝晒后失水、溶解吸热,晚上重新结晶放热,实现了太 阳能转化为化学能继而转化为热能 8下列关于热化学反应的描述正确的是 3.关于下列四图的叙述中,正确的是 DNA ?—A—T—G—C —??—— —— RNA U A C G —?甲 () A — P~P~P A C12H 22O11 乙丙丁 A.CO 的燃烧热是 283.0 kJ/mol ,则 2CO (g)2CO(g)+O 2 (g)H=+283.0 kJ/mol 2 B.HCl 和 NaOH 反应的中和热H=-57.3 kJ/mol ,则 H2SO4和 Ba(OH) 2的反应热 H=2×(-57.3) kJ/mol C.等物质的量的硫蒸气和硫固体分别完全燃烧,后者放出热量少 D. 已知: H- H 键的键能为a kJ/mol , Cl - Cl 键的键能为 b kJ/mol, H- Cl 键的键能为 c kJ/mol ,则 生成 1 mol HCl 放出的能量为 (a+b-2c)/2 kJ A.甲图中共有 5 种核苷酸B.在小鼠的体细胞内检测到的化合物丁很可能是蔗糖C.组成丙物质的单糖是脱氧核糖或核糖D.乙图所示的化合物中不含糖类物质 4.下图所示为来自同一人体的 4 种细胞 ,下列叙述正确的是 A .因为来自同一人体,所以各细胞中的DNA 含量相同 B.因为各细胞中携带的基因不同,所以形态、功能不同 C.虽然各细胞大小不同,但细胞中含量最多的化合物相同 D.虽然各细胞的生理功能不同,但吸收葡萄糖的方式相同9.埋在地下的钢管道可以用如图所示方法进行电化学保护。 下列说法正确的是 A.该方法将电能转化成化学能 B.在此装置中钢管道作正极 C.该方法称为“外加电流的阴极保护法” D. 镁块上发生的电极反应为O2+2H 2O+4e-4OH -

高考理综全国卷及答案

高考理综全国卷及答案 Coca-cola standardization office【ZZ5AB-ZZSYT-ZZ2C-ZZ682T-ZZT18】

绝密★启用前 2013年普通高等学校招生全国统一考试(新课标Ⅱ卷) 理科综合能力测试 注意事项: 1. 本试卷分第Ⅰ卷(选择题)和第Ⅱ卷(非选择题)两部分。答卷前,考生务必将自己的姓名、准考证号填写在答题卡上。 2. 回答第Ⅰ卷时,选出每小题答案后,用2B铅笔把答题卡上对应题目的答案标号框涂黑,如需改动,用橡皮擦干净后,再选涂其他答案标号框。写在本试卷上无效。 3. 答第Ⅱ卷时,将答案写在答题卡上,写在本试卷上无效。 4. 考试结束,将试题卷和答题卡一并交回。 可能用到的相对原子质量:H 1 C 12 N 14 O 16 F 19 Na 23 Al 27 S 32 Cl K 39 Ca 40 Cr 52 Fe 56 Ni 59 Cu 64 Zn 65 一、选择题:本题共13小题,每小题6分,在每小题给出的四个 选项中,只有一项是符合题目要求的。 1.关于DNA和RNA的叙述,正确的是 有氢键,RNA没有氢键 B.一种病毒同时含有DNA和RNA C.原核细胞中既有DNA,也有RNA D.叶绿体、线粒体和核糖体都含有DNA 2.关于叶绿素的叙述,错误的是 A.叶绿素a和叶绿素b都航油镁键

B.叶绿素吸收的光可能用于光合作用 C.叶绿素a和叶绿素b在红光区的吸收峰值不同 D.植物呈现绿色是由于叶绿素能有效地吸收绿光 3.下列曰微生物狐疑有关的叙述,错误的是 A.肺炎双球菌无线粒体,但能进行有氧呼吸 B.与细菌呼吸有关的酶由拟核中的基因编码 C.破伤风芽孢杆菌适宜生活在有氧的环境中 D.有氧和无氧时,酵母菌呼吸作用产物不痛 4.关于免疫细胞的叙述,错误的是 A.淋巴细胞包括B细胞、T细胞和吞噬细胞 B.血液和淋巴液中都含有T细胞和B细胞 C.吞噬细胞和B细胞都属于免疫细胞 D.浆细胞通过胞吐作用分泌抗体 5. 在生命科学发展过程中,证明DNA是遗传物质的实脸是 ①孟德尔的豌豆杂交实验②摩尔根的果蝇杂交实脸③肺炎双球菌转化实验 ④T2噬菌体侵染大肠杆菌实验⑤ DNA的X光衍射实脸 A.①② B.②③ C.③④ D.④⑤ 6. 关于酶的叙述,错误的是 A. 同一种酶可存在于分化程度不同的适细胞中 B.低温能降低酶活性的原因是其破坏了酶的空间结构 C.酶通过降低化学反应的活化能来提高化学反应速度

2020全国高考理综模拟试题(1)

文档收集于互联网,已重新整理排版.word版本可编辑.欢迎下载支持. 2018普通高等学校招生全国统一考试(模拟卷2) 理科综合 注意事项: 本试卷分第I卷(选择题)和第II卷(非选择题)两部分,全卷满分300分。考生作答时,将答案答在答题卡上(答题注意事项见答题卡),在本试题卷上答题无效。考试结束后,将本试题卷和答题卡一并交回。 第I卷 一、选择题:本题共13小题,每小题6分,共78分。在每小题给出的四个选项中,只有一项是符合题目要求的。1.日本福岛核电站事故后引起人类恐慌,主要是外泄的放射性物质产生的电离辐射侵袭生物体分子后会形成自由基,进而损害蛋白质、DNA以及细胞膜等。下列有关细胞物质或结构的叙述,正确的是 A. 一切生命活动都离不开蛋白质 B. DNA是人体主要的遗传物质,由C、H、O、N、P五种化学元素组成 C.磷脂是线粒体、中心体、叶绿体等各种细胞器膜的主要成分之一 D.病毒没有细胞结构,是最基本的生命系统 2.下列有关细胞的生命历程,正确的叙述是 A.已经高度分化的细胞一般都要经过衰老和坏死的过程 B.细胞分化的实质是细胞形态结构和遗传物质发生稳定性差异 C.有丝分裂是所有真核生物的体细胞增加数目的唯一方式 D.被病原体侵染的宿主细胞的清除属于细胞凋亡 3.下列关于生物进化的正确叙述有几项: ①生物进化过程的实质在于有利变异个体的保存②基因突变的方向与生物进化的方向是一致的③环境条件稳定时种群的基因频率不会发生变化④不同物种之间、生物与无机环境之间的共同进化是生物多样性的原因⑤突变和基因重组为生物进化提供了最初的原始材料 A.零项 B.一项 C.二项 D.三项 4.下列关于生长素的说法,正确的是 A.单侧光照射下,生长素由胚芽鞘向光侧向背光侧极性运输 B.植物茎的背重力生长和向光生长均没有体现生长素作用的两重性 C.探究生长素促进扦插枝条生根的实验中,一般将插条的顶端浸泡在生长素溶液中 D.在失重状态下,水平放置的植物体内的生长素不会发生横向运输和极性运输 5.下列有关科学发现的说法,正确的是 A.孟德尔在豌豆开花时对母本进行去雄和授粉,实现亲本的杂交 B.萨克斯通过对照实验证明光合作用的产物是葡萄糖 C.卡尔文利用同位素示踪技术探明了CO2中的C在光合作用中的转移途径 D.促胰液素的发现证明了胰液的分泌与体液调节有关而与神经调节无关 6.以下图示分别是对几种生物体内正在进行分裂的细胞进行观察的结果(图示中的染色体只代表其状态,不表示具体数目)。其中,假设和推论与图示相符的是()

全国高考理综试题及答案

2001年普通高等学校招生全国统一考试(江浙卷) 理科综合能力测试 本卷分第Ⅰ卷(选择题)和第Ⅱ卷(非选择题)两部分。满分300分,考试时间150分钟。 第Ⅰ卷(选择题共144分) 本卷共24小题,每题6分,共144分。在下列各题的四个选项中,只有一个选项是符合题目要求的。 1.人体发生花粉等过敏反应时,由于毛细血管壁的通透性增加,血浆蛋白渗出,会造成局部 A.血浆量增加B.组织液减少C.组织液增加D.淋巴减少 2.运动员在进行不同项目运动时,机体供能方式不同。对三种运动项目的机体总需氧量、实际摄入氧量和血液中乳酸增加量进行测定,结果如下: 运动项目总需氧量(升)实际摄人氧量(升)血液乳酸增加量 马拉松跑600 589 略有增加 400米跑16 2 显著增加 100米跑8 0 未见增加根据以上资料分析马拉松跑、400米跑、100米跑运动过程中机体的主要供能方式分别是A.有氧呼吸、无氧呼吸、磷酸肌酸分解 B.无氧呼吸、有氧呼吸、磷酸肌酸分解 C.有氧呼吸、无氧呼吸、无氧呼吸 D.有氧呼吸、磷酸肌酸分解、无氧呼吸 3.种子萌发的需氧量与种子所贮藏有机物的元素组成和元素比例有关,在相同条件下,消耗同质量的有机物,油料作物种子(如花生)萌发时需氧量比含淀粉多的种子(如水稻)萌发时的需氧量 A.少B.多C.相等D.无规律 4.下面叙述的变异现象,可遗传的是 A.割除公鸡和母鸡的生殖腺并相互移植,因而部分改变的第二性征 B.果树修剪后所形成的树冠具有特定的形状 C.用生长素处理未经受粉的番茄雌蕊,得到的果实无籽 D.开红花的一株豌豆自交,后代部分植株开白花 5.如果一个生态系统有四种生物,并构成一条食 物链。在某一时间分别测得这四种生物(甲、乙、丙、 丁)所含有机物的总量,如右图所示。在一段时间内, 如果乙的种群数量增加,则会引起 A.甲、丁的种群数量增加,丙的种群数量下降 B.甲、丙、丁的种群数量均增加 C.甲、丁的种群数量下降,丙的种群数量增加 D.甲的种群数量下降,丙、丁的种群数量增加 6.当下列物质:①大理石②钟乳石③锅垢④贝壳⑤蛋 壳,分别滴加醋酸时,会产生相同气体的 A.只有①②B.只有④⑤C.只有①②③D.是①②③④⑤

【新课标Ⅰ卷】2020年全国统一高考《理科综合》模拟试题(含答案)

绝密★启用前 2020年普通高等学校招生全国统一模拟考试 理科综合能力测试 注意事项: 1.答卷前,考生务必将自己的姓名、准考证号填写在答题卡上。 2.回答选择题时,选出每小题答案后,用铅笔把答题卡上对应题目的答案标号涂黑。如需改动,用橡皮擦干净后,再选涂其它答案标号。回答非选择题时,将答案写在答题卡上。写在本试卷上无效。 3.考试结束后,将本试卷和答题卡一并交回。 可能用到的相对原子质量:H 1 Li 7 C 12 N 14 O 16 Na 23 S 32 Cl 35.5 Ar 40 Fe 56 I 127 一、选择题:本题共13小题,每小题6分,共78分。在每小题给出的四个选项中,只有一项是符合题目要求的。1.生物膜的结构与功能存在密切的联系。下列有关叙述错误的是 A.叶绿体的类囊体膜上存在催化ATP合成的酶 B.溶酶体膜破裂后释放出的酶会造成细胞结构的破坏 C.细胞的核膜是双层膜结构,核孔是物质进出细胞核的通道 D.线粒体DNA位于线粒体外膜上,编码参与呼吸作用的酶 2.生物体内的DNA常与蛋白质结合,以DNA-蛋白质复合物的形式存在。下列相关叙述错误的是A.真核细胞染色体和染色质中都存在DNA-蛋白质复合物 B.真核细胞的核中有DNA-蛋白质复合物,而原核细胞的拟核中没有 C.若复合物中的某蛋白参与DNA复制,则该蛋白可能是DNA聚合酶 D.若复合物中正在进行RNA的合成,则该复合物中含有RNA聚合酶 3.下列有关植物根系吸收利用营养元素的叙述,错误的是 NO A.在酸性土壤中,小麦可吸收利用土壤中的N2和 3 B.农田适时松土有利于农作物根细胞对矿质元素的吸收 C.土壤微生物降解植物秸秆产生的无机离子可被根系吸收 D.给玉米施肥过多时,会因根系水分外流引起“烧苗”现象 4.已知药物X对细胞增殖有促进作用,药物D可抑制药物X的作用。某同学将同一瓶小鼠皮肤细胞平均分为甲、乙、丙三组,分别置于培养液中培养,培养过程中进行不同的处理(其中甲组未加药物),每隔一段时间测定各组细胞数,结果如图所示。据图分析,下列相关叙述不合理的是 A.乙组加入了药物X后再进行培养 B.丙组先加入药物X,培养一段时间后加入药物D,继续培养 C.乙组先加入药物D,培养一段时间后加入药物X,继续培养 D.若药物X为蛋白质,则药物D可能改变了药物X的空间结构 5.种群密度是种群的数量特征之一。下列叙述错误的是 A.种群的S型增长是受资源因素限制而呈现的结果 B.某林场中繁殖力极强老鼠种群数量的增长会受密度制约 C.鱼塘中某种鱼的养殖密度不同时,单位水体该鱼的产量有可能相同 D.培养瓶中细菌种群数量达到K值前,密度对其增长的制约逐渐减弱

2018全国高考理综模拟试题(1)

理科综合 注意事项: 本试卷分第I卷(选择题)和第II卷(非选择题)两部分,全卷满分300分。考生作答时,将答案答在答题卡上(答题注意事项见答题卡),在本试题卷上答题无效。考试结束后,将本试题卷和答题卡一并交回。 第I卷 一、选择题:本题共13小题,每小题6分,共78分。在每小题给出的四个选项中,只有一项是符合题目要求的。1.日本福岛核电站事故后引起人类恐慌,主要是外泄的放射性物质产生的电离辐射侵袭生物体分子后会形成自由基,进而损害蛋白质、DNA以及细胞膜等。下列有关细胞物质或结构的叙述,正确的是 A. 一切生命活动都离不开蛋白质 B. DNA是人体主要的遗传物质,由C、H、O、N、P五种化学元素组成 C.磷脂是线粒体、中心体、叶绿体等各种细胞器膜的主要成分之一 D.病毒没有细胞结构,是最基本的生命系统 2.下列有关细胞的生命历程,正确的叙述是 A.已经高度分化的细胞一般都要经过衰老和坏死的过程 B.细胞分化的实质是细胞形态结构和遗传物质发生稳定性差异 C.有丝分裂是所有真核生物的体细胞增加数目的唯一方式 D.被病原体侵染的宿主细胞的清除属于细胞凋亡 3.下列关于生物进化的正确叙述有几项: ①生物进化过程的实质在于有利变异个体的保存②基因突变的方向与生物进化的方向是一致的③环境条件稳定时种群的基因频率不会发生变化④不同物种之间、生物与无机环境之间的共同进化是生物多样性的原因⑤突变和基因重组为生物进化提供了最初的原始材料 A.零项 B.一项 C.二项 D.三项 4.下列关于生长素的说法,正确的是 A.单侧光照射下,生长素由胚芽鞘向光侧向背光侧极性运输 B.植物茎的背重力生长和向光生长均没有体现生长素作用的两重性 C.探究生长素促进扦插枝条生根的实验中,一般将插条的顶端浸泡在生长素溶液中 D.在失重状态下,水平放置的植物体的生长素不会发生横向运输和极性运输 5.下列有关科学发现的说法,正确的是 A.孟德尔在豌豆开花时对母本进行去雄和授粉,实现亲本的杂交 B.萨克斯通过对照实验证明光合作用的产物是葡萄糖 C.卡尔文利用同位素示踪技术探明了CO2中的C在光合作用中的转移途径 D.促胰液素的发现证明了胰液的分泌与体液调节有关而与神经调节无关 6.以下图示分别是对几种生物体正在进行分裂的细胞进行观察的结果(图示中的染色体只代表其状态,不表示具体数目)。其中,假设和推论与图示相符的是()

2020全国卷高考理综模拟题

2020高考理综模拟试题 1.下列关于细胞生命历程的叙述错误的是 A.人体造血干细胞分化为各种血细胞的过程是不可逆的 B.细胞分化会导致mRNA的种类和数量发生改变 C.细胞凋亡的速率与其功能有关,白细胞凋亡的速率比红细胞的慢 2“无细胞蛋白质合成系统”是以外源DNA或mRNA为模板,人工添加所需原料和能源物质以细胞提取物为条件合成蛋白质的体外基因表达系统。下列叙述错误的是 A.若以外源DNA为模板,该系统具备完成转录和翻译的能力 B.该系统中添加的tRNA种类数多于组成目标蛋白的氨基酸种类数 C.为了保证编码目标蛋白的mRNA的数量,应适当添加RNA酶 D.与胞内蛋白质合成相比,该系统可表达对细胞有毒害作用的特殊蛋白质 3.下图是反射弧和神经纤维局部放大的示意图,有关说法错误的是 A.在图甲中,切断②,刺激④,不会发生反射,但⑤有反应 B.在图甲的⑥结构中,信号的转换模式为电信号→化学信号→电信号 C.若图乙表示神经纤维受到刺激的瞬间膜内外电荷的分布情况,则b为兴奋部位 D.兴奋在神经纤维上的传导方向与膜外电流的方向一致 4.下列关于细胞结构和功能的说法中,正确的是 A核糖体与新冠病毒COVID-19,一种单链RNA病毒)的化学成分相似 B.核仁与核糖体的形成密切相关,没有核仁的细胞无法形成核糖体 C.ATP和[H]在叶绿体中随水的分解而产生,在线粒体中随水的生成而产生 D.核糖体、内质网高尔基体的膜都参与了分泌蛋白的合成与运输 5.果蝇的棒眼基因(B)和野生正常眼基因(b)只位于X染色体上,B和b指导 合成的肽链中只有第8位的氨基酸不同。研究人员构建了一个棒眼雌果蝇 品系X hB X b(如图所示)。h为隐性致死基因且与棒眼基因B始终连锁在二起, B纯合(X hB X hB、X hB Y)时能使胚胎致死。下列说法错误的是 A.h基因的转录场所只能是细胞核,翻译场所只能是核糖体 B.次级精母细胞中移向两极的X染色体分别携带了B、b基因是基因重组 导致的 C.果蝇的眼形由野生正常眼转变为棒眼可能是分裂间期时碱基对替换导致 的

2020年高考物理模拟试题及答案

2020高考理综物理试题及答案 14.如图所示为氢原子的能级图,一群处在n=4激发态的氢原子向低能级跃迁, 用所辐射的光子照射某金属,能打出的光电子的最大初动能为10.25eV ,则 氢原子辐射的光子中能使该金属发生光电效应的光子种数为 A .2 B .3 C .4 D .5 15.如图所示为甲、乙两个质点沿同一方向做直线运动的位移—时间图像(x-t 图 像),甲做匀速直线运动,乙做匀加速直线运动,t=4s 时刻图像乙的切线交时间轴t=1.5s 点处,由此判断质点乙在t=0时刻的速度是质点甲速度的 A .15倍 B .25倍 C .38倍 D .58倍16.空间存在竖直向下的匀强磁场,磁场的磁感应强度大小为B 0,两根长直导线A 、B 垂直于纸面水平放置,两导线中通入大小相等方向相反的恒定电流,a 点为A 、B 连线的中点,a 、b 两点关于B 对称,若a 、b 两点的磁感应强度大小分别为B 1、B 2,方向均竖直向下,则撤去匀强磁场和长直导线B 以后,a 、b 两点的磁感应强度大小分别为 A .102 B B -,120232 B B B -+B . 102B B +,120232B B B +-C .102B B -,120232B B B +-D .102B B +,120232B B B -+17.如图所示,小球B 用细线悬挂静止,将小球A 从图示位置斜向上抛出的同时将细线剪断,不计空气阻力,结果两个球在空中相遇,已知两球开始时的位置连线与水平方向的夹角为θ,小球A 抛出时的初速度与水 平方向的夹角为α,则下列说法正确的是 A .αθ >B .αθ

相关文档
最新文档