数字电子技术资料

数字电子技术资料
数字电子技术资料

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

(完整word版)数字电子技术基础习题-答案3-4章

第3章 逻辑代数及逻辑门 【3-1】 填空 1、与模拟信号相比,数字信号的特点是它的 离散 性。一个数字信号只有两种取值分别表示为0 和1 。 2、布尔代数中有三种最基本运算: 与 、 或 和 非 ,在此基础上又派生出五种基本运算,分别为与非、或非、异或、同或和与或非。 3、与运算的法则可概述为:有“0”出 0 ,全“1”出 1;类似地或运算的法则为 有”1”出”1”,全”0”出”0” 。 4、摩根定理表示为:A B ?=A B + ;A B +=A B ?。 5、函数表达式Y=AB C D ++,则其对偶式为Y '=()A B C D +?。 6、根据反演规则,若Y=AB C D C +++,则Y =()AB C D C ++? 。 7、指出下列各式中哪些是四变量A B C D 的最小项和最大项。在最小项后的( )里填入m i ,在最大项后的( )里填入M i ,其它填×(i 为最小项或最大项的序号)。 (1) A +B +D (× ); (2) ABCD (m 7 ); (3) ABC ( × ) (4)AB (C +D ) (×); (5) A B C D +++ (M 9 ) ; (6) A+B+CD (× ); 8、函数式F=AB+BC+CD 写成最小项之和的形式结果应为m ∑(3,6,7,11,12,13,14,15), 写成最大项之积的形式结果应为 M (∏ 0,1,2,4,5,8,9,10 ) 9、对逻辑运算判断下述说法是否正确,正确者在其后( )内打对号,反之打×。 (1) 若X +Y =X +Z ,则Y=Z ;( × ) (2) 若XY=XZ ,则Y=Z ;( × ) (3) 若X ⊕Y=X ⊕Z ,则Y=Z ;(√ ) 【3-2】用代数法化简下列各式 (1) F 1 =1ABC AB += (2) F 2 =ABCD ABD ACD AD ++= (3)3F AC ABC ACD CD A CD =+++=+ (4) 4()()F A B C A B C A B C A BC =++?++?++=+ 【3-3】 用卡诺图化简下列各式

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

完整版数字电子技术基础1

、单项选择题 1、将十进制数56转换成8421BCD 码应是: 2、使晶体三极管工作于饱和区的电压条件是: 系叫做: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: &下列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 数字电子技术基础 模拟卷1 A 、(56) 10= ( 0011 1000 8421BCD B 、 (56) 10= ( 0011 1001) 8421BCD C 、(56) 10= ( 0101 1000) 8421BCD D 、 (56) 10= ( 0101 0110) 8421BCD A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 A 、同或 B 、与非 C 、异或 D 、或非 4、 在功能表中刈勺含义是: A 、表示高电平 B 、表示低电平 C 、咼低电平都不可以 D 、咼低电平都可以 5、 下列4个电路中能实现L AB 逻辑关系的是: >1 =1 >1 =1 D- A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器

B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为 需要几片2114 D 、16 片 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V ,R F =R , 、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 2、描述触发器逻辑功能的方法有: 3、比较下列几个数的大小,正确的结果是: D 、(2A ) 16 >( 101101) 2 4、在下式中选出正确的逻辑代数公式: 4KX8 位, 11、已知逻辑函数 L A B D ,则其反函数F 为: A 、A BCD B 、A BCD C 、A BCD D 、 A BCD 当输入全 1时,输出电压的绝对值为: 10V C 10V ——255 B 、—— 1 256 1024 B 、 C 、 10V ——1023 1024 D 、 10V —— 1 256 号码分别填在题干的括号内。多选、少选、 错选均无分。) 1、逻辑函数L (AB AB)C 中,变量A 、 B 、C 取哪些值时, L 的值为1。 A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 ABC 取 111 A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

电子技术基础数字部分

电子技术基础数字部分: 《电子技术基础数字部分(第5版)习题全解》是为配合华中科技大学电子技术课程组编、康华光任主编、邹寿彬和秦臻任副主编的《电子技术基础数字部分》(第五版)教材而编的习题全解。 内容包括《电子技术基础数字部分》(第五版)各章习题解答。 《电子技术基础数字部分(第5版)习题全解》使用对象主要是电气信息类(包括原电子、电气、自控等类)教师,希望它的出版有助于电子技术基础授课教师进行教学、开展教学研究及提高教学质量目录: 1 数字逻辑概论 1.1 数字电路与数字信号 1.2 数制 1.3 二进制数的算术运算 1.4 二进制代码 1.5 逻辑函数及其表示方法 2 逻辑代数与硬件描述语言基础 2.1 逻辑代数 2.2 逻辑函数的卡诺图化简法 3 逻辑门电路 3.1 MOS逻辑门电路 3.2 TTL逻辑门电路 3.3 射极耦合逻辑门电路

3.4 砷化镓逻辑门电路 3.5 逻辑描述中的几个问题 3.6 逻辑门电路使用中的几个实际问题 4 组合逻辑电路 4.1 组合逻辑电路的分析 4.2 组合逻辑电路的设计 4.3 组合逻辑电路中的竞争冒险 4.4 若干典型的组合逻辑集成电路 4.5 组合可编程逻辑器件 5 锁存器和触发器 5.2 锁存器 5.3 触发器的电路结构和工作原理 5.4 触发器的逻辑功能 6 时序逻辑电路 6.1 时序逻辑电路的基本概念 6.2 同步时序逻辑电路的分析 6.3 同步时序逻辑电路的设计 6.4 异步时序逻辑电路的分析 6.5 若干典型的时序逻辑集成电路 6.6 时序可编程逻辑器件 7 存储器、复杂可编程器件和现场可编程门阵列7.1 只读存储器

(完整word版)数字电路基础.docx

数字电子技术基础网络题库 第一章数字电路基础(1) S09209B 数字电路中的工作信号为()。 A. 随时间连续变化的电信号 B.脉冲信号 C.直流信号 解: B S09211B 与二进制数10001001 相应的十进制数是()。 A.89 B. 137 C.73 解: B S09212B 模拟电路中的工作信号为()。 A. 随时间连续变化的电信号 B.随时间不连续变化的电信号 C.持续时间短暂的脉冲信号 解: A S09219B 数字电路中的工作信号为()。 A. 随时间连续变化的电信号 B.脉冲信号 C.直流信号 解: B S12222B 逻辑电路如图所示,EN 为控制端,若C=“1”,则 F 为()。 A.AB AB B.AB C.高阻状态 解: C S09220B 逻辑图和输入A, B 的波形如图所示,分析在t1时刻输出 F 为()。 A.“ 1” B.“ 0” C.不定 解: B S09221B A B C

A. F ABC B.F A B C C.F ABC 解: A S09222B 逻辑式 F AB BD ABC ABC D ,化简后为()。 A.F AB BC B.F A B+C D C.F AB BD 解: C S09223B 与二进制数00101010 相应的十进制数是()。 A. 20 B. 74 C. 42 解: B S09224B 逻辑式 F ABC ABC ABC ABC ,化简后为()。 A. F A B. F B C.F AB 解: A S09206N 反码是 (1011101)反对应的十进制数是()。 A. –29 B.–34 C. –16 D. 22 解: B S09206G (–25)10的补码是()。 A. 100111 B.000111 C. 011001 D.111001 解: A S09207G 某十六进制数的原、反、补(不一定是这个顺序)码是 101011010,101011011,110100101,该十六进制数为()。 A.5A B.–DA1 C.A5 D.–A5 解: D S09207N 某十进制数的原、反、补(不一定是这个顺序) 码是 1001001,1001010, 1110110,该十进制数是()。

电子技术基础数字部分第五版康光华主编第1~6章章节详细习题答案

第一章习题答案 1.1.4 一周期性信号的波形如图题1.1.4所示,试计算:(1)周期;(2)频率;(3)占空比 012 (ms) 图题1.1.4 解: 周期T=10ms 频率f=1/T=100Hz 占空比q=t w /T ×100%=1ms/10ms ×100%=10% 1.2.2 将下列十进制数转换为二进制数、八进制数和十六进制数,要求误差不大于2-4: (1)43 (2)127 (3)254.25 (4)2.718 解: 1. 转换为二进制数: (1)将十进制数43转换为二进制数,采用“短除法”,其过程如下: 2 4 3 ………………………余1……b 02 21 ………………………余1……b 12 1 ………………………余1……b 5 2 2 ………………………余0……b 42 5 ………………………余1……b 32 10 ………………………余0……b 20 高位 低位 从高位到低位写出二进制数,可得(43)D =(101011)B (2)将十进制数127转换为二进制数,除可用“短除法”外,还可用“拆分比较法”较为简单: 因为27=128,因此(127)D =128-1=27-1=(1000 0000)B -1=(111 1111)B (3)将十进制数254.25转换为二进制数, 整数部分(254)D =256-2=28-2=(1 0000 0000)B -2=(1111 1110)B 小数部分(0.25)D =(0.01)B (254.25)D =(1111 1110.01)B (4)将十进制数2.718转换为二进制数 整数部分(2)D =(10)B 小数部分(0.718)D =(0.1011)B 演算过程如下:

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

【数字电子技术题目第一章】数字电子技术期末

【数字电子技术题目第一章】数字电子技术期末 第一章数字逻辑概论一.填空题1.(101.1001)2=()8=()16。 2.(1011011)2=()8=()16。 3.(01110.1101)2=()8=()16。 4.(110101)2=()8=()16。 5.(10110010.1011)2=( )8=( ) 16。 6. 已知十进制数“230”, 则将其转换为十六进制数为; BCD码“100110000101”表示的十进制数为。 7. 某编码器对26个英文字母进行编码,需要采用位二进制输出。 8. 某编码器对一年12个月进行编码,需要采用位二进制输出。 9.将逻辑函数Y=AB’+ABC+AC 转换成与非—与非形式。 10.将逻辑函数Y=(A+B’)(A’+B)C+(BC)’转换成与非—与非形式。 11. 四个变量逻辑函数共有个最小项,两个不同的最小项的乘积为,全部最小项之和为。 12. 六个变量逻辑函数共有个最小项,两个不同最小项的乘积为,全部最小项之和为。 13. 已知某函数F=((A+B’)(A’+C))’AC+BC,则其最简与或式为。 14. 已知某函数F=ABC+(AC)’+(AB)’,则其最简与或式为。 15. 已知函数,则其反函数为。 16. 已知函数F=AC+BC’,则其反函数为。 17.数制转换:(011010)2 =()10 =()8 =()16。18.数制转换:(35)10 =()2 =()8 =()16。19.数制转换:(251)8 =()2 =()16 =()10。20.数制转换:(4B)16 =()2 =()8 =()10。 21.数制转换:(69)10 =()2 =()16 =()8。22.将二进制数转换为等值的八进制和十六进制数(10011011001)2 =()8 =()16。 23.将二进制数转换为等值的八进制和十六进制数(1001010.011001)2 =()8 =()16。 二.选择题1. 若输入变量A、B全为0时,输出函数F=0,则其输入与输出的关系是()。 A. 异或 B. 同或 C. 或非 D. 与非2. 1997个“1”同或起来的结果为()。 A.1 B. 2008 C.1004 D.0 3. 若输入变量A、B全为1时,输出函数F=1,则其输入与输出的关系是()。 A. 异或 B. 同或 C. 或非 D. 与非4. 2008个“1”异或起来的结果为()。 A.1 B. 2008 C.1004 D.0 5. 下列数据中,数值最小的数据为()。 A. (12)16 B. (21)8 C. (10011)2 D. (16)10 6. 下列四个数中最大的数是()。

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

(完整版)数字电子技术基础试题及答案3

3《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为 8421BCD 码时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。

13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三 10.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为( )。 A B Q n+1 说明 0 Q n 保持 000 001 010 011 100 101 110 111

电子技术基础数字部分期末试卷

电子技术基础数字部分期末试卷 姓名 学号 成绩 一、填空题(每空1分,共15分) 1、组合电路没有 记忆 功能,因此,它是由 门电路 组成。 2、将BCD 码翻译成十个对应输出信号的电路称为二十进制译码器,它有 4 个 输入端, 10 输出端。 3、 下图所示电路中,()C B A Y 1⊕=;B A Y 2+=;=+=? +?=B A B A AB A AB B Y 3。 4、二进制数A=1011010;B=10111,则A-B=n Q R S +(1000011)20RS =。 5、判断图1.5电路, b 是组合电路的框图, a 是时序电路的框图。从中可以看 出,时序电路与组合电路相比,在电路结构上的特点是 时序电路有记忆元件,由存储电路构成,组合电路无记忆元件,由门电路构成。 6、一个逻辑函数除了用函数式、真值表和逻辑图之外,还有二种表示方法,它们是 卡诺 图, 波形图。 二、选择题(每题3分,共15分) A 1 B Y 2 A B C Y 1 A B Y 3

1、以下式子中不正确的是( C ) a .1?A =A b .A +A=A c .B A B A +=+ d .1+A =1 2、下列说法不正确的是( C ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 3、电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( B ) a .“110” b .“100” c .“010” d .“000” 4、以下错误的是( B ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 5、下列描述不正确的是( B ) a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便 b .集成二—十进制计数器和集成二进制计数器均可方便扩展。 c .将移位寄存器首尾相连可构成环形计数器 d .上面描述至少有一个不正确 三、证明或化简下列函数(每小题5分,共15分) 1、证明 C AC C AB C B A =++ 证明:

万里学院数字电子技术基础第七章习题及参考答案

第七章习题 一、选择题 1.集成D/A 转换器DAC0832含有 个寄存器。 A.1 B.2 C.3 D.4 2.一个无符号8位数字量输入的DAC ,其分辨率为 位。 A.1 B.3 C.4 D.8 3.一个无符号10位数字输入的DAC ,其输出电平的级数为 。 A.4 B.10 C.1024 D.210 4.一个无符号4位权电阻DAC ,最低位处的电阻为40K Ω,则最高位处电阻为 。 A.4K Ω B.5K Ω C.10K Ω D.20K Ω 5.4位倒T 型电阻网络DAC 的电阻网络的电阻取值有 种。 A.1 B.2 C.4 D.8 6.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟信号的最高频率 f ax Im 的关系是 。 A. f s ≥f ax Im B. f s ≤f ax Im C. f s ≥2f ax Im D. f s ≤2f ax Im 7.将一个时间上连续变化的模拟量转换为时间上断续(离散)的模拟量的过程称为 。 A.采样 B.量化 C.保持 D.编码 8.用二进制码表示指定离散电平的过程称为 。 A.采样 B.量化 C.保持 D.编码 9.将幅值上、时间上离散的阶梯电平统一归并到最邻近的指定电平的过程称为 。 A.采样 B.量化 C.保持 D.编码 10.若某ADC 取量化单位△=81REF V ,并规定对于输入电压I u ,在0≤I u <8 1REF V 时,认为输入的模拟电压为0V ,输出的二进制数为000,则 85REF V ≤I u <86REF V 时,输出的二进制数为 。 A.001 B.101 C.110 D.111 11.以下四种转换器, 是A/D 转换器且转换速度最高。 A.并联比较型 B.逐次逼近型 C.双积分型 D.施密特触发器 二、判断题(正确打√,错误的打×) 1.D/A 转换器的建立时间是反映转换速度的一个参数。( )

数字电子技术基础第一章习题答案

第一章习题解答[题1.1]」【解】 (1) () 2=(97) 16 =(151) 10 (2)() = 16 ) 6(D=(109)10 (3)( 0. ) 2=(0.5F) 16 =(0.) 10 (4)(11. 001) 2=(3. 2) 16 =(3.125) 10 [题1. 2]将下列十六进制数化为等值的二进制数和等值的十进制数。 【解】 (1) (8C) 16=() 2 =(140) 10 (2) (3D.BE) 16= (.) 2 =(61. ) 10 (3)(8F.FF) 16=(.) 2 =( 143.) 10 (4)(10.00) 16=(10000.) 2 = (16. ) 10 [题1. 3][解] (17) 10=(10001) 2 =(11) 16 (127) 10 =() 2 =(7F) 16 (0.39) 10=(0.0110) 2 =(0.6) 16 (25.7) 10 =(11001.1011) 2 =(19.B) 16 [题1. 4] [解] (1) (+1011) 2 的原码和补码都是01011(最高位的0是符号位)。 (2) (+00110) 2 的原码和补码都是(最高位的0是符号位)。 (3) (-1101) 2 的原码是11101(最高位的1是符号位),补码是10011 (4) (-) 2 的原码是(最高位的1是符号位),补码是 [题1. 5] [解] (1)首先找出真值表中所有使函数值等于1的那些输人变量组合。 然后写出一组变量组合对应的一个乘积项,取值为1的在乘积项中写

为原变量,取值为0的在乘积项中写为反变量。最后,将这些乘积项相加,就得到所求的逻辑函数式。 (2)将输人变量取值的所有状态组合逐一代入逻辑函数式,求出相 应的函数值。然后把输入变量取值与函数值对应地列成表,就得到了函数的真值表。 (3)将逻辑图中每个逻辑图形符号所代表的逻辑运算式按信号传输方 向逐级写出,即可得到所求的逻辑函数式。 (4)用逻辑图形符号代替函数式中的所有逻辑运算符号,就可得到由 逻辑图形符号连接成的逻辑图了。 [题1. 6] [解] 表Pl. 6( a)对应的逻辑函数式为 表P1.6(b)对应的逻辑函数式为 [题1. 7] [解]

相关文档
最新文档