射频电路课程设计

射频电路课程设计
射频电路课程设计

摘 要

滤波电路的综合设计是相当复杂的,需要好多理论知识和数学知识做铺垫,我们知道用于无线的模拟电路是在吉赫兹频段,高性能计算机、工作站,当然还有作为这方面例子的个人计算机,他们所使用电路的时钟频率不断的增加。全球定位系统载波频率在1227.60mhz~1575.42mhz 范围,而此次课程设计主要向大家介绍最大平滑巴特沃兹微波电路和等波纹契比学夫微波电路设计方法。当微波电路工作在射频的低端频段,可以使用集总参数的元件进行设计,利用集总参数的电感和电容,按照一定的设计规则选取合适的电路和元件的参数,就可以实现归一化低通滤波电路的设计。然后通过利用频率变换就可以低通微波电路、高通微波电路、带通微波电路和带阻微波电路的设计。

关键字:滤波电路 平滑巴特沃兹微波电路 等波纹契比学夫微波电路

一 引言

通过对射频设计电路的学习,我们知道无线通信的快速发展,更紧凑的滤波器和混频器电路正在被设计和使用。通常这些电路的工作频率高于1Ghz 。毫无疑问这种趋势将会继续下去,因此不仅要有独特性能的技术装置,而且要学会对高频电路中遇到的问题进行分析,我们知道随着频率的升高以及其相应的电磁波的波长变得可与分立电路元件的尺寸相比拟时,电阻、电容和电感这些元件的电响应就开始偏离他们的理想频率特性,下面将简单的向大家介绍一下本次滤波电路的设计方法,以及如何对其进行归一化。

二 集总参数滤波电路

2.1巴特沃斯滤波电路 2.1.1原理分析

在巴特沃斯微波电路设计的频率响应上没有任何波纹,通常被称为最大平滑滤波电路,在获得最大平滑和单调响应的良好特性时,巴特沃斯滤波电路也有在带外的衰减教缓慢的缺点。对于理想的巴特沃斯低通滤波电路,插入损耗全部由阻抗不匹配引起。

则插入损耗为:|1|lg 10)||1lg(10222N in IL Ω+=Γ--=α

其中Ω为归一化的频率0/w w ,N 为滤波电路的阶数,a 是设计巴特沃斯微波电路的一个参数。通常a 取值为1,则当归一化的频率c Ω等于1时,滤波电路的

插入损耗:db

=

lg

10=

IL3

2

可以实现在截止频率Wc上微波电路有3db的损耗

下图给出截止频率1

Ωc的不同阶数低通微波电路的频率响应。随着巴特沃斯低

=

通微波电路阶数N的增加,在截止频率c

Ω附近具有更为陡峭的变化,有图可知选择更高的微波电路阶数N,微波电路会具有更好的特性,但是微波电路更为复杂、成本更好、体积更大。

归一化的低通微波电路具有两种电路结构,图中虚线框内所示电路,N阶低通微波电路由N个电抗元件构成,G1~Gn表示微波电路中相应电感和电容的数值,G0表示信号源电阻或者电纳,G(n+1)负载电阻或者电导。

2.1.2最大平滑巴特沃斯微波电路归一化参数

2.2契比雪夫滤波电路 2.2.1原理分析

等波纹契比雪夫微波电路的衰减特性可以用契比雪夫多项式进行描述,其插入损耗IL 表示为:

|1|lg 1022Ω+=n T a IL

其中Ω为归一化频率,a 为常数,N 为非负整数,Tn 为N 阶契比雪夫多项式

|cos |cos )(1

Ω=Ω-N T N ,1||≤Ω |cosh |cosh )(1Ω=Ω-N T N ,1||≥Ω

归一化Ω为在-1至+1的范围内,图中给出了0阶至4阶契比雪夫多项式曲线,从图中可以看出N 阶多项式具有N 个极点,并且取值范围都是-1至+1。显然契比雪夫多项式具有等波纹的特性,所有极点对应的函数值都是-1或者+1,所以N 多项式具有N 个波纹。

带内电压传递系数模值为

)(1|)(|22Ω+==ΩN T a IL H

其中)(ΩN T 为N 阶契比雪夫多项式,a 用于为调节微波电路带内微波幅度的参数。当选择a=1时,在归一化频率1=Ω处可以得到电压传递系数的模值为 707.02|)1(|≈=

H

通带内所有极点的最大衰减量为3db 的等波纹。如图图1给出了1阶至5阶的3db 契比雪夫归一化微波电路的带内插入损耗IL ,在归一化频率1=Ω处各滤波电路都存在3db 的损耗,而且带内所有波纹均为3db 。随着阶数N 的增加,带内波纹数随之增加。图2给出了1阶至10阶的3db 契比雪夫归一化微波电路的带外衰减)(Ωa ,随着微波电路阶数N 的增加,微

波电路频率衰减曲线更为陡峭。与最大平滑巴特沃夫滤波电路相比,契比雪夫微波电路在截止频率1=Ω处具有同样的3db 的衰减,但是契比雪夫微波电路在带外具有更陡峭的频率响

图一

图二

2.2.2最大平滑契比雪夫微波电路归一化参数

3db 等波纹 N=1-10

2.3 归一化微波电路的变换 2.

3.1 映射低通微波电路

~/jwL L w jw L j Z o L ==Ω= ~/1//1jwC jwC w C j Z o C ==Ω= o w L L /~=

o w C C /~=

Ω=O W W

2.3.2 映射高通微波电路

)/(1)/(~jwC L W W j L j Z O L =-=Ω= ~)/()/(1jwL C jw W C j Z o C =-=Ω=

)/(1~L w C O =

)/(1~C w L o =

)()(Ω-=Ωa a Ω±=/o w w

2.3.3 映射带通和带阻微波电路

带通

)/(1)/(~~jwC jwL w w L jw L j Z l u o L +=-=Ω=ε 串联电路 )/(1)/(~~jwL jwC w w C jw C j Y l u o C +=-=Ω=ε 并联电路

低通电路的串联电感由串联电路代替

)/(~l u w w L L -= L w w w C o l u 2~/)(-=

低通电路的并联电容由并联电路代替

C w w w L o l u 2~/)(-= )/(~l u w w C C -=

带阻

低通电路的串联电感由并联电路代替

o l u w L w w L 2~/)(-=

)/(~l u w w L C -=

低通电路的并联电容由串联电路代替

C w w L l u )/(1~-= o l u w C w w C 2/)(~

-=

2.4 归一化低通微波电路变换参数总结

2.4 资料查询及收获

通过查询射频通信电路设计,我对射频电路设计—理论与应用中巴特沃斯微波滤波器和契比雪夫微波滤波器的设计有了更加深入的理解,通过此次理论知识的学习,在滤波器的设计之路中,使我明白了,无论是契比雪夫还是巴特沃斯滤波器,掌握低通滤波器的原理以及设计方法极为重要,因为我们可以通过相应的公式推导,很容易就可以导出它们与低通滤波器的关系,在相应的电路中,我们也只需把低通滤波器中串联或并联中的电感或电容,进行相应的变化,即可得到需要的微波电路。

三总结与展望

虽然一般来说,高通、带通和带阻滤波器特性的网络综合是相当复杂,然而对巴特沃斯微波滤波器和契比雪夫微波滤波器的研究我们发现,高通、带通和带阻滤波器的设计可以很容易的通过低通微波电路来进行变换,这就对我们在研究高通、带通和带阻滤波器的设计时,对低通滤波器研究更加重视,消化并领悟低通微波电路的设计方法以及相关的理论知识相当重要,当然了理解低通微波电路不是我们真正的目的,我们主要要学会通过低通滤波电路来设计高通、带通和带阻滤波器以及它们与低通滤波器之间的关系,并且要熟练掌握它们之间的关系。

参考文献

ADS2009射频电路仿真实验实验报告

低通滤波器的设计与仿真报告 一、实验目的 (1)熟悉ADS2009的使用及操作; (2)运用此软件设计一低通录波器,通过改变C2.L1的值,使低通录波器达到预定的要求(dB值以大于—3.0以上为宜); (3)画出输出仿真曲线并标明截止频率的位置与大小。 二、低通滤波器简介 (1)定义:让某一频率以下的信号分量通过,而对该频率以上的信号分量大大抑制的电容、电感与电阻等器件的组合装置。低通滤波器是容许低于截止频率的信号通过,但高于截止频率的信号不能通过的电子滤波装置。 (2)特点与用途 特点:低损耗高抑制;分割点准确;双铜管保护;频蔽好,防水功能强。 用途:产品用途广泛,使用于很多通讯系统,如 CATV EOC 等系统。并能有效的除掉通频带以外的信号和多余的频段、频率的干扰。 低通滤波器在信号处理中的作用等同于其它领域如金融领域中移动平均数所起的作用;低通滤波器有很多种,其中,最通用的就是巴特沃斯滤波器和切比雪夫滤波器。 三、设计步骤 1,建立新项目 (1)在界面主窗口执行菜单命令【File】/【New Project...】,创建

新项目。在选择保存路径时,在“Name”栏中输入项目的名称“lab1”; (2)单击按钮“确认”,出现电路原理图设计及仿真向导对话框,按照要求进行选择选项。 2,建立一个低通录波器设计 (1)在主界面窗口,单击“New Schematic Window”图标,弹出原理图设计窗口; (2)单击“保存”图标,保存原理图,命名为“lpf1”; (3)在元件模型列表窗口中选择“Lumped-Components”集总参数元件类; (4)在左侧面板中选择电容图标,将其放置到电路图设计窗口中,并进行旋转; (5)用类似的方法将电感放置到电路图设计窗口中,并利用接地图标,把电容器的一端接地,将各个器件连接起来; (6)在元件库列表窗口选择“Simulation-S-Param”项,在该面板中选择S-parameter模拟控制器和端口Term,将其放到原理图中。双击电容“C2”并修改其参数。 低通滤波器原理图如下图1所示: 3,电路仿真 1)设置S参数控件参数 (1)双击S参数控件,打开参数设置窗口,将“Step-size”设置为0.5GHz; (2)选中【Display】选项卡,在此列出了所有可以显示在原理

RF射频电路设计

RF电路的PCB设计技巧 如今PCB的技术主要按电子产品的特性及要求而改变,在近年来电子产品日趋多功能、精巧并符合环保条例。故此,PCB的精密度日高,其软硬板结合应用也将增加。 PCB是信息产业的基础,从计算机、便携式电子设备等,几乎所有的电子电器产品中都有电路板的存在。随着通信技术的发展,手持无线射频电路技术运用越来越广,这些设备(如手机、无线PDA等)的一个最大特点是:第一、几乎囊括了便携式的所有子系统;第二、小型化,而小型化意味着元器件的密度很大,这使得元器件(包括SMD、SMC、裸片等)的相互干扰十分突出。因此,要设计一个完美的射频电路与音频电路的PCB,以防止并抑制电磁干扰从而提高电磁兼容性就成为一个非常重要的课题。 因为同一电路,不同的PCB设计结构,其性能指标会相差很大。尤其是当今手持式产品的音频功能在持续增加,必须给予音频电路PCB布局更加关注.据此本文对手持式产品RF电路与音频电路的PCB的巧妙设计(即包括元件布局、元件布置、布线与接地等技巧)作分析说明。 1、元件布局 先述布局总原则:元器件应尽可能同一方向排列,通过选择PCB进入熔锡系统的方向来减少甚至避免焊接不良的现象;由实践所知,元器件间最少要有 0.5mm的间距才能满足元器件的熔锡要求,若PCB板的空间允许,元器件的间距应尽可能宽。对于双面板一般应设计一面为SMD及SMC元件,另一面则为分立元件。 1.1 把PCB划分成数字区和模拟区 任何PCB设计的第一步当然是选择每个元件的PCB摆放位。我们把这一步称为“布板考虑“。仔细的元件布局可以减少信号互连、地线分割、噪音耦合以及占用电路板的面积。 电磁兼容性要求每个电路模块PCB设计时尽量不产生电磁辐射,并且具有一定的抗电磁干扰能力,因此,元器件的布局还直接影响到电路本身的干扰及抗干扰能力,这也直接关系到所设计电路的性能。

射频电路PCB的设计技巧

射频电路PCB的设计技巧 摘要:针对多层线路板中射频电路板的布局和布线,根据本人在射频电路PCB设计中的经验积累,总结了一些布局布线的设计技巧。并就这些技巧向行业里的同行和前辈咨询,同时查阅相关资料,得到认可,是该行业里的普遍做法。多次在射频电路的PCB设计中采用这些技巧,在后期PCB的硬件调试中得到证实,对减少射频电路中的干扰有很不错的效果,是较优的方案。 关键词:射频电路;PCB;布局;布线 由于射频(RF)电路为分布参数电路,在电路的实际工作中容易产生趋肤效应和耦合效应,所以在实际的PCB设计中,会发现电路中的干扰辐射难以控制,如:数字电路和模拟电路之间相互干扰、供电电源的噪声干扰、地线不合理带来的干扰等问题。正因为如此,如何在PCB的设计过程中,权衡利弊寻求一个合适的折中点,尽可能地减少这些干扰,甚至能够避免部分电路的干涉,是射频电路PCB设计成败的关键。文中从PCB的LAYOUT角度,提供了一些处理的技巧,对提高射频电路的抗干扰能力有较大的用处。 1 RF布局 这里讨论的主要是多层板的元器件位置布局。元器件位置布局的关键是固定位于RF路径上的元器件,通过调整其方向,使RF路径的长度最小,并使输入远离输出,尽可能远地分离高功率电路和低功率电路,敏感的模拟信号远离高速数字信号和RF信号。 在布局中常采用以下一些技巧。 1.1 一字形布局 RF主信号的元器件尽可能采用一字形布局,如图1所示。但是由于PCB板和腔体空间的限制,很多时候不能布成一字形,这时候可采用L形,最好不要采用U字形布局(如图2所示),有时候实在避免不了的情况下,尽可能拉大输入和输出之间的距离,至少1.5 cm 以上。

2016年《射频电路设计》实验

实验三RFID标签的设计、制作及测试一、【实验目的】 在实际的生产过程中,RFID电子标签在设计并测试完成后,都是在流水线上批量制造生产的。为了让学生体会RFID标签天线设计的理念和工艺,本实验为学生提供了一个手工蚀刻制作RFID电子标签的平台,再配合微调及测试,让学生在亲自动手的过程中,不断地尝试、提炼总结,从而使学生对RFID标签天线的设计及生产工艺,有进一步深刻的理解。 二、【实验仪器及材料】 计算机一台、HFSS软件、覆铜板、Alien Higgs芯片、热转印工具、电烙铁、标签天线实物,UHF测试系统,皮尺 三、【实验内容】 第一步(设计):从UHF标签天线产品清单中,挑选出一款天线结构,或者自己设计一款标签天线结构,进行HFSS建模画图 第二步(制作):将第一步中设计好的标签模型用腐蚀法进行实物制作 第三步(测试):利用UHF读写器测试第二步中制作的标签实物性能 四、【实验要求的知识】 下图是Alien(意联)公司的两款标签天线,型号分别为ALN-9662和ALN-9640。这两款天线均采用弯折偶极子结构。弯折偶极子是从经典的半波偶极子结构发展而来,半波偶极子的总长度为波长的一半,对于工作在UHF频段的半波偶极子,其长度为160mm,为了使天线小型化,采用弯折结构将天线尺寸缩小,可以适用于更多的场合。ALN-9662的尺寸为70mm x 17mm,ALN-9640的尺寸为94.8mm x 8.1mm,之所以有不同的尺寸是考虑到标签的使用情况和应用环境,因为天线的形状和大小必须能够满足标签顺利嵌入或贴在所指定的目标上,也需要适合印制标签的使用。例如,硬纸板盒或纸板箱、航空公司行李条、身份识别卡、图书等。 ALN-9662天线版图 ALN-9640天线版图

2.4GHZ射频前端设计

2.4GHz ISM射频前端模块的设计及应用 2.4GHz工业科学医疗设备(ISM)是全世界公开通用使用的无线频段,蓝牙( Bluetooth)、 Wi-Fi、ZigBee等短距离无线数据通信均工作在2.4GHz ISM频段。 针对2.4GHz ISM频段无线应用,锐迪科微电子公司推出了RDA T212射频前端模块。T212芯片集成了功率放大器( PA)、低噪声放大器( LNA)、天线开关(Antenna Switch)和功率检测器(Power Detector),并特别增加PA带通及LNA带通的省电功能,内部还针对天线端做了 ESD保护设计。T212芯片采用标准的 QFN 3×3mm2超小型封装,输入和输出已集成隔直电容和匹配电路,外围元件仅需少量滤波电容,极大地简化了PCB设计。 高集成度、超小尺寸并提供省电功能的T212射频前端模块,在手机蓝牙以及802.11.b/g扩展应用中大有可为。同时,T212芯片还具有优异的线性度,支持Bluetooth 2.0的高速率应用。 T212模块的性能 T212射频前端模块内集成的功率放大器采用先进的砷化镓异质结双极晶体管( GaAs HBT)工艺制造,低噪声放大器和天线开关采用增强型高电子迁移率场效应晶体管( E-PHEMT)工艺制造。尽管没有采用差分PA的形式,但是T212依然为客户提供了差分输入管脚,从而使客户不需要再关心差分转单端的设计。 T212集成的功率放大器是一款高线性高效率PA,在2.4GHz~2.5GHz频段内有20dB增益,线性输出功率为18dBm时的三阶交调IM3小于-30dBc。PA的静态工作电流可低至10mA,饱和输出功率可达23dBm,功率附加效率高达45%,这么高的效率有助于延长供电时间。

射频电路设计公式

射频电路设计对特性阻抗Z的经验公式做公式化处理,参见P61 波阻抗公式: E H =Z= μ/ε=377Ω? 相速公式: v=ω β = 1 εμ 电抗公式: Xc= 1 Xl=ωL 直流电阻公式: R= l σS = l πa2σ 高频电阻公式: R′=a R 高频电感公式: L=R′ω 趋肤厚度公式: δ= 1πfμσ 铜线电感实用公式: L′=R a πfμσ= 2l 2 ? 1 πδμσ= 2l μ0/πσf= 1.54 f uH 高频电容公式: C=εA d 高频电导率: G=σA = ωεA = ωC 电容引线电感经验公式: L′=Rd?a πfμ.σ= 2lμ. = 771 f nH

电容引线串联电阻公式: R′=R?a 2δ = 2l 2πaσ πfμ.σ= l a μ.f πσ =4.8 fμΩ 电容漏电阻: R=1 G = 1 2πfC?tanΔ = 33.9exp6 f MΩ TanΔ的定义: ESR=tanΔωC 空气芯螺旋管的电感公式: L= πr2μ.N2螺旋管的电容: C=ε.?2πrN?2a l N =4πε.? raN2 l 微分算符的意义: ? x= 0? ? ?z ? ?y ? 0? ?? ? ?y ? ?x 电容,电感,电导,电阻的定义: C=εw d L= d G= σw R= d σw 特性阻抗表达式:

Z=L C 若是平行板传输线: Z=μεd w 关于微带线设计的若干公式: w/h < 1时, Z= Z. 2π ε′ 8? w + w 4? 其中, Z.=376.8Ω ε′=εr+1 + εr?1 1+ 12h? 1 2 +0.041? w2 w/h>1时 Z= Z. ε′? 1.39+ w h+ 2 3ln w h+1.444 其中, ε′=εr+1 + εr?1 1+ 12h? 1 2 如何设计微带线w/h<2时: w h = 8e A e2A?2 其中, A=2πZ Z. εr+1 2 + εr?1 εr+1 0.23+ 0.11 εr w/h>2时: W =2 (B?1?ln2B?1+ εr?1 (ln B?1 +0.39? 0.61 )) 其中, B= Z.π2Zεr 反射系数的定义:

ISO15693非接触式IC卡射频前端电路的设计

1前言 ISO15693标准协议是国际上规定的用于非接 触式IC卡的一种高频通信协议。该标准协议的非接触式IC卡的读写距离长达100cm,比同是高频通信 协议的ISO14443规定的10cm读写距离更大,应用范围也会更加广泛。ISO15693标准协议规定:读卡器到卡所发送的信号为采用脉冲位置编码的10% ASK和100%ASK两种调制模式的频率都为 13.56MHz的载波。 卡片解调电路的任务是把两种深秦燕青,葛元庆 (清华大学微电子学研究所,北京100084) ISO15693非接触式 IC卡射频前端电路的设计 摘要:介绍了ISO15693非接触式IC卡射频前端电路,采用了一种巧妙的整流电路,提高了整流效率。同时使用了一种适用于ISO15693非接触式卡片的简单的稳压电路结构,有助于信号的解调,并且使卡片在接收到的信号为10%ASK和100%ASK两种调制模式时都能正常工作。芯片测试结果显示:电源产生电路能够产生2.2V-3.8V的直流电压,解调电路能够在2.0V-3.8V电压下可靠稳定的工作;在 ISO15693规定的最小场强0.15A/M处,整个芯片的电源电压为3.3V,且功耗小于60μW。 关键词:ISO15693;非接触式IC卡;整流电路;电源产生电路;解调电路 DesignofaRFfront-endcircuitofcontactlessICcardsforISO15693 QINYan-qing,GEYuan-qing (InstituteofMicroelectronics,TsinghuaUniversity,Beijing100084,P.R.China) Abstract:ARFfront-endcircuitisdesignedforcontactlessICcardscomplyingwithISO15693.Anovelrectifierisdesignedtoenhancetheefficiencyofrectification.Asimplelimiterstructureisintroduced,whichisapplicableincontactlessICcards,anditishelpfultothedemodulationofthesignal.Thislimitercanalsohelptheabovecardsworknormallywhenthereceivedsignalis10%ASKor100%ASKmodulatingmode.Testresultsshowthatthepowergen-erationcircuitcanprovideaDCsupplyvoltagefrom2.2Vto3.8V.Thedemodulationcircuitcanworkproperlyandsteadilyfrom2.0Vto3.8V.Powerconsumptionislessthan60uWat3.3V,whenthewholechipworksattheminimumoperatingfield0.15A/M,whichisprescribedinISO15693. Keywords:ISO15693;contactlessICcards;rectifier;powergenerationcircuit;demodulationcircuitEEACC:1205;1250

射频电路-实验指导书

实验一:滤波器(Filter ) 一、实验目的: 1.了解基本[低通]及[带通]滤波器之设计方法。 2.利用实验模组实际测量以了解[滤波器]的特性。 二、实验设备: 三、实验理论分析: (一)滤波器的种类 以信号被滤掉的频率范围来区分,可分为[低通](Lowpass )、[高通](Highpass )、[带通](Bandpass )及[带阻](Bandstop )四种。若以滤波器的频率响应来分,则常见的有[巴特渥兹型](Butter-worth)、[切比雪夫I 型](Tchebeshev Type-I)、[切比雪夫Ⅱ型](T chebeshev Type-Ⅱ)及[椭圆型](Elliptic)等,若按使用元件来分,则可分为[有源型]及[无源型]两类。其中[无源型]又可分为[L-C 型](L-C Lumped)及[传输线型](Transmission line)。而[传输线型]以其结构不同又可分为[平行耦合型](Parallel Coupled)、[交叉指型](Interdigital)、[梳型](Comb-line)及[发针型](Hairpin-line)等等不同结构。 本实验以较常用的[巴特渥兹型](Butter-worth)、[切比雪夫I 型] (Tchebeshev Type-I)为例,说明其设计方法。 首先了解[Butter-worth]及[Tchebeshev Type-I]低通滤波器的响应图。 (a) [Butterowrth] []|),(|log 10),(, 011),(2ωωωω ωN B N B if N B LP N LP ?=≥+=

(b) [Tchebyshev Type] []|),,(|l o g 10),,(, ) (11),,(22 ωωωεωN rp T N rp T T N rp T LP n LP ?=+= 其中 rp(dB)是[通带纹波](passband ripple), 110 10 /2-=rp ε N 为元件级数数(order of element for lowpass prototype ) ω为截通比(stopband-to-passband ratio ), ω= fc / fx (for lowpass) = B Wp / BWx (for bandpass) 其中 fc 是-3 dB 截止频率(3 dB cutoff frequency ) fx 是截止频率(stopband frequency ) BWp 是通带频宽(passband bandwidth ) BWx 是截止频宽(stopband bandwidth ) T n (ω)为[柴比雪夫]多项式(Tchebyshey polynom als) [] [] ? ??>???≤?≤??=--1)(cosh cosh 1 0)(cos cos )(1 1ωαωαωαωαωif N if N T n 其中 ?? ? ?????? ???=-ε α1cosh 1 cosh 1 N ,110 10 /2 -=rp ε 图6-1(a)(b)即是[三级巴特渥兹型]B (3,ω)与三种不同纹波和级数的[切比雪夫型]的截通比响应的比较图。理论上,在通带内[巴特渥兹型]是无衰减的(Maximun flat ),而[切比雪夫型]较同级数的[巴特渥兹型]有较大的衰减量。实际应用上,除非在通带内要求必须是平坦响应(flat response )外,大多允许通带少量的衰减而采用[切比雪夫型]以获得较大的截通效应或减少元件级数。

24GHz射频前端频率合成器设计

第48卷第1期(总第187期) 2019年3月 火控雷达技术 Fire Control Radar Technology Vol.48No.1(Series 187) Mar.2019 收稿日期:2018-10-24作者简介:饶睿楠(1977-),男,高级工程师。研究方向为频率综合器及微波电路技术。 24GHz 射频前端频率合成器设计 饶睿楠 王 栋 余铁军 唐 尧 (西安电子工程研究所西安710100) 摘要:随着微波射频集成电路集成度越来越高, 24GHz 频段的高集成雷达收发芯片逐渐大规模使用。其中英飞凌科技公司的24GHz 锗硅工艺高集成单片雷达解决方案就是其中具有代表性的一种,被大量应用在液位或物料检测、照明控制、汽车防撞、安防系统。FMCW 为此种应用最多采用的信号调制方式。本文采用锁相环频率合成方案,产生系统所需的FMCW 调制信号。关键词:24GHz 射频前端;FMCW ;频率综合器BGT24AT2ADF4159中图分类号:TN95文献标志码:A 文章编号:1008-8652(2019)01-066-04 引用格式:饶睿楠,王栋,余铁军,唐尧.24GHz 射频前端频率合成器设计[ J ].火控雷达技术,2019,48(1):66-69. DOI :10.19472/j.cnki.1008-8652.2019.01.014 Design of a Frequency Synthesizer for 24GHz RF Front Ends Rao Ruinan ,Wang Dong ,Yu Tiejun ,Tang Yao (Xi'an Electronic Engineering Research Institute ,Xi'an 710100) Abstract :With the increasing integration of microwave and radio-frequency integrated circuits ,highly integrated radar transceiver chips in 24GHz band have gradually found large-scale applications.Among those chips ,Infineon's 24GHz SiGe monolithic radar solution is a typical one.It has found wide applications in liquid (or material )detec-tion ,lighting control ,automotive collision avoidance ,and security systems.FMCW is the most widely used signal modulation method in these applications.This paper uses PLL frequency synthesis scheme to generate FMCW mod-ulation signals required by the system. Keywords :24GHz RF front end ;FMCW ;frequency synthesizer ;BGT24AT2;ADF4159 0引言 24GHz 频段雷达大量用于液位检测、照明控制、汽车防撞、安防等领域。近年来由于微波集成电路的高速发展,单芯片电路集成度越来越高,出现了一大批高集成、多功能的射频微波集成电路,以前需要几片或十几片芯片的电路被集成在一片集成电路之中。英飞凌公司推出的基于锗硅工艺的高集成单片雷达解决方案就是其中对具代表性的产品之一。FMCW 信号调制方式被广泛的应用于此类产品。本文采用英飞凌公司BGT24AT2单片信号源芯片与ADI 公司ADF4159锁相环芯片构成24GHz 射频前端频率合成器部分,产生了24GHz 24.2GHz FM-CW 发射信号。 1BGT24AT2锗硅24GHz MMIC 信号源芯片基本指标 BGT24AT2是一款低噪声24GHz ISM 波段多功能信号源。内部集成24GHzVCO 和分频器。3路独立的RF 输出可分别输出+10dBm 的信号,通过SPI 可对输出信号功率进行控制。发射信号的快速脉冲和相位反向可通过单独的输入引脚或通用的SPI 控制接口进行控制。片内集成输出功率及温度传感器,可对芯片工作情况进行监控。芯片工作的环境温度为-40? 125?,满足汽车级环境应用要求。封装为32脚VQFN 封装,单3.3V 电源供电,节省了大量板上空间。其原理框图如图1所示。

射频电路设计技巧

实用资料——射频电路板设计技巧成功的RF设计必须仔细注意整个设计过程中每个步骤及每个细节,这意味着必须在设计开始阶段就要进行彻底的、仔细的规划,并对每个设计步骤的进展进行全面持续的评估。而这种细致的设计技巧正是国内大多数电子企业文化所欠缺的。 近几年来,由于蓝牙设备、无线局域网络(WLAN)设备,和移动电话的需求与成长,促使业者越来越关注RF电路设计的技巧。从过去到现在,RF电路板设计如同电磁干扰(EMI)问题一样,一直是工程师们最难掌控的部份,甚至是梦魇。若想要一次就设计成功,必须事先仔细规划和注重细节才能奏效。 射频(RF)电路板设计由于在理论上还有很多不确定性,因此常被形容为一种「黑色艺术」(black art) 。但这只是一种以偏盖全的观点,RF电路板设计还是有许多可以遵循的法则。不过,在实际设计时,真正实用的技巧是当这些法则因各种限制而无法实施时,如何对它们进行折衷处理。重要的RF设计课题包括:阻抗和阻抗匹配、绝缘层材料和层叠板、波长和谐波...等,本文将集中探讨与RF电路板分区设计有关的各种问题。 微过孔的种类 电路板上不同性质的电路必须分隔,但是又要在不产生电磁干扰的最佳情况下连接,这就需要用到微过孔(microvia)。通常微过孔直径为0.05mm至0.20mm,这些过孔一般分为三类,即盲孔(blind via)、埋孔(bury via)和通孔(through via)。盲孔位于印刷线路板的顶层和底层表面,具有一定深度,用于表层线路和下面的内层线路的连接,孔的深度通常不超过一定的比率(孔径)。埋孔是指位于印刷线路板内层的连接孔,它不会延伸到线路板的表面。上述两类孔都位于线路板的内层,层压前利用通孔成型制程完成,在过孔形成过程中可能还会重叠做好几个内层。第三种称为通孔,这种孔穿过整个线路板,可用于实现内部互连或作为组件的黏着定位孔。 采用分区技巧 在设计RF电路板时,应尽可能把高功率RF放大器(HPA)和低噪音放

GPS接收机射频前端电路原理与设计

GPS接收机射频前端电路原理与设计 摘要:在天线单元设计中采用了高频、低噪声放大器,以减弱天线热噪声及前面几级单元电路对接收机性能的影响;基于超外差式电路结构、镜频抑制和信道选择原理,选用GP2010芯片实现了射频单元的三级变频方案,并介绍了高稳定度本振荡信号的合成和采样量化器的工作原理,得到了导航电文相关提取所需要的二进制数字中频卫星信号。 关键词:GPS接收机灵敏度超外差锁相环频率合成 利用GPS卫星实现导航定位时,用户接收机的主要任务是提取卫星信号中的伪随机噪声码和数据码,以进一步解算得到接收机载体的位置、速度和时间(PVT)等导航信息。因此,GPS接收机是至关重要的用户设备。目前实际应用的GPS接收机电路一般由天线单元、射频单元、通信单元和解算单元等四部分组成,如图1所示。本文在分析GPS卫星信号组成的基础上,给出了射频前端GP2010的原理及应用。 1 GPS卫星信号的组成

GPS卫星信号采用典型的码分多址(CDMA)调制技术进行合成(如图2所示),其完整信号主要包括载波、伪随机码和数据码等三种分量。信号载波处于L波段,两载波的中心频率分别记作L1和L2。卫星信号参考时钟频率f0为10.23MHz,信号载波L1的中心频率为f0的154倍频,即: fL1=154×f0=1575.42MHz (1) 其波长λ1=19.03cm;信号载波L2的中心频率为f0的120倍频,即: fL2=120×f0=1227.60MHz (2) 其波长λ2=24.42cm。两载波的频率差为347.82MHz,大约是L2的 28.3%,这样选择载波频率便于测得或消除导航信号从GPS卫星传播至接收机时由于电离层效应而引起的传播延迟误差。伪随机噪声码(PRN)即测距码主要有精测距码(P码)和粗测距码(C/A码)两种。其中P 码的码率为10.23MHz、C/A码的码率为1.023MHz。数据码是GPS卫星以二进制形式发送给用户接收机的导航定位数据,又叫导航电文或D 码,它主要包括卫星历、卫星钟校正、电离层延迟校正、工作状态信息、C/A码转换到捕获P码的信息和全部卫星的概略星历;总电文由1500位组成,分为5个子帧,每个子帧在6s内发射10个字,每个字30位,共计300位,因此数据码的波特率为50bps。

ADS射频电路设计基础与典型应用解析

实验报告 课程名称: ADS射频电路设计基础与典型应用实验项目名称:交直流仿真分析 学院:工学院 专业班级:11级信息 姓名: 学号:1195111016 指导教师:唐加能 2014年12月23 日 预习报告

一、 实验目的 通过本节实验课程进一步熟悉使用ADS 软件,并学会使用ADS 软件进行交直流分析。 二、 实验仪器 电脑,ADS 仿真软件 三、 实验原理 (一)ADS 软件的直流,交流仿真功能 1.直流仿真 电路的直流仿真是所有射频有源电路分析的基础,在执行有源电路交流分析、S 参数仿真或谐波平衡仿真等其他仿真前,首先需要进行直流仿真,直流仿真主要用来分析电路的直流工作点。直流仿真元件面板主要包括直流仿真控制器、直流仿真设置控制器、参数扫描计划控制器、参数扫描控制器、节点设置和节点名控件、显示模板控件和仿真测量等式控件,这些面板上的原件经过设置以后既可以提供有源电路单点的直流分析,又可以提供有源电路参数扫描分析。 2.交流仿真 交流仿真能获得电路小信号时的多种参数,如电压增益、电流增益、跨导和噪声等。交流仿真执行时,首先对电路进行直流分析,并找到非线性原件的直流工作点,然后将非线性器件在静态工作点附近进行线性化处理,分析小信号在静态工作点附近的输入输出关系。 (二)交直流仿真面版与控制原件 1.直流仿真 图1中元件面板列出了直流仿真的所有仿真控件。 直流仿真控制器(DC ):直流仿真控制器(DC ) 是控制直流仿真的最重要控件,使用直流仿真控制器可以设置仿 真的扫描参数和参数的扫描范围等相关参数。 直流仿真设置控制器(OPTIONS ):直流仿真设置控制器主要用来设置直流仿真的外部环境和计算方式,例如,环境温度、设备温度、仿真的收敛性、仿真的状态提示和输出文件的特性等相关内容。

射频前端本振电路部分设计

1.1本振电路 1.1.1本振电路框图 框图如下图所示,时钟源部份,单片机控制部份、和本振电路部份三大块,由于有三级混频,本振电路必须提供三个本振频率,第一本振频率是可调的,第二、三本振频率则是固定的。 图1本振电路框图 1.1.2时钟源 时钟源分为外部参考时钟源和内部时钟源,当使用外部参考时钟源时,内部时钟源自动断开,外部时钟源主要的作用就是为了同步,一般是在双通道或多通道测向时需要采用。外部时钟源的精度稳定度就无法控制。 不作双通道测向时,则主要是靠内部时钟源提供时钟基准。内部时钟源采用高稳定度时钟,稳定度为10-9-10-7。 同时,也向外提供10MHz的时钟输出。如下图:

图 2时钟源框图 1.1.3本振电路及噪声分析 接收机本振源采用了DDS+PLL混合合成的技术,如错误!未找到引用源。所示。 图 3 接收机本振源原理 这个框图只是一个本振的大致框图,具体电路应该根据本方案的要求对电路作相应的改动,以适应要求。 由图可见,参考频率为10?,高速DDS根据需要产生所需要的信号,经过滤波器组初步滤除谐波杂散,送入后续的PLL做激励信号。VCO产生的信号经过合适的分频与激励信号比较,锁定频率和相位。 接收机的相位噪声指标主要取决于本振相位噪声,这个方案其基础相位噪声为参考源相位噪声,而输出信号在此基础上有一定的恶化,恶化程度则主要取决于PLL本身的噪声+分频器分频系数带来的噪声恶化+DDS噪声恶化。在选取低噪声的鉴频鉴相器和VCO器件后,系统的主要噪声恶化就取决于后两者。分频器分频系数若为N,其带来的噪声恶化为20Log(N),若M为DDS输出频率与参考频率

射频实验报告

射频电路实验报告12/13 学年第1学期 学院:信息与通信工程学院 专业:电子信息科学与技术 学生姓名:学号: 指导教师:李永红 日期: 2012 年10月28日

实验一滤波器设计 一、实验目的 (1) 掌握基本的低通和带通滤波器的设计方法。 (2) 学会使用微波软件对低通和高通滤波器进行设计和仿真,并分析结果。 二、预习内容 (1) 滤波器的相关原理。 (2) 滤波器的设计方法。 三、实验设备 Microwave Office软件 四、理论分析 滤波器的种类: (1) 按通带特性分为低通、高通、带通及带阻四种。 (2) 按频率响应分为巴特沃斯、切比雪夫及椭圆函数等。 (3) 按使用原件又可分为L-C性和传输线型。 五、软件仿真 设计一个衰减为3dB,截止频率为75MHz的[切比雪夫型1dB 纹波LC 低通滤波器(Zo=50ohm),并且要求该滤波器在100MHz至少有20dB 的衰减。

图1-1切比雪夫型1dB 纹波LC低通滤波器电路图 图1-2 模拟仿真结果 六、结果分析 经过仿真,得到了两种滤波器的频率特性的到了结果。红色的曲线为低通滤波器,蓝色的为带通滤波器,两种滤波器的特性可以鲜明地在图上看出差别。低通滤波器在低频区域,是通带,通带非常的平缓,纹波较低,但是截至段不是很陡。带通滤波器具有较好的陡峭特性,但是相对而言,通带比较窄而且纹波较大。

实验二放大器设计 一、实验目的 (1) 掌握射频放大器的基本原理与设计方法。 (2) 学会使用微波软件对射频放大器进行设计和仿真,并分析结果。 二、预习内容 (1) 放大器的基本原理。 (2) 放大器的设计方法。 三、实验设备 Microwave Office软件 四、理论分析 射频晶体管放大器常用器件为BJT、FET、MMIC。 放大器电路的设计主要是输入/输出匹配网络。输入匹配网络可按低噪声或高增益设计,输出匹配网络要考虑尽可能高的增益。

ADS射频电路设计基础与典型应用

实验报告 课程名称:ADS射频电路设计基础与典型应用实验项目名称:交直流仿真分析 学院:工学院 专业班级:11级信息 姓名: 学号:1195111016 指导教师:唐加能 2014年12月23 日

预 习 报 告 一、 实验目的 通过本节实验课程进一步熟悉使用ADS 软件,并学会使用ADS 软件进行交直流分析。 二、 实验仪器 电脑,ADS 仿真软件 三、 实验原理 (一)ADS 软件的直流,交流仿真功能 1.直流仿真 电路的直流仿真是所有射频有源电路分析的基础,在执行有源电路交流分析、S 参数仿真或谐波平衡仿真等其他仿真前,首先需要进行直流仿真,直流仿真主要用来分析电路的直流工作点。直流仿真元件面板主要包括直流仿真控制器、直流仿真设置控制器、参数扫描计划控制器、参数扫描控制器、节点设置和节点名控件、显示模板控件和仿真测量等式控件,这些面板上的原件经过设置以后既可以提供有源电路单点的直流分析,又可以提供有源电路参数扫描分析。 2.交流仿真 交流仿真能获得电路小信号时的多种参数,如电压增益、电流增益、跨导和噪声等。交流仿真执行时,首先对电路进行直流分析,并找到非线性原件的直流工作点,然后将非线性器件在静态工作点附近进行线性化处理,分析小信号在静态工作点附近的输入输出关系。 (二)交直流仿真面版与控制原件 1.直流仿真 图1中元件面板列出了直流仿真的所有仿真控件。 直流仿真控制器(DC ):直流仿真控制器(DC ) 是控制直流仿真的最重要控件,使用直流仿真控制器可以设置仿 真的扫描参数和参数的扫描范围等相关参数。 直流仿真设置控制器(OPTIONS ):直流仿真设置控制器主要用

射频工程师必读书籍

ADS,MWO,Ansoft还是CST、HFSS 频微波类书 希望对大家有点帮助: 1.《射频电路设计--理论与应用》『美』Reinhold Ludwig 著电子工业出版社 个人书评:射频经典著作,建议做RF的人手一本,里面内容比较全面,这本书要反复的看,每读一次都会更深一层理解. 随便提一下,关于看射频书籍看不懂的地方怎么办?我提议先看枝干或结论有个大概印象,实在弄不明白就跳过(当然可问身边同事同学或GOOGLE一下),跳过不是不管它了,而是尽量先看完自己能看懂的,看第二遍的时候再重点抓第一次没有看懂的地方,人的思维是不断升华的,知识的也是一个系统体系,有关联的,当你把每一块砖弄明白了,就自然而然推测出金字塔塔顶是怎么架设出来的。 2. 《射频通信电路设计》『中』刘长军著科学技术出版社 个人书评:有拼凑之嫌(大量引用书1和《微波晶体管放大电路分析与设计》内容),但还是有可取之处,加上作者的理解,比看外文书(或者翻译本)看起来要通俗易懂,毕竟是中国人口韵。值得一看,书上有很多归纳性的经验. 3.《高频电路设计与制作》『日』市川欲一著科学技术出版社 个人书评:本人说实话比较喜欢日本人写书的风格和语言,及其通俗,配上图示,极其深奥的理论看起来明明朗朗,比那些从头到尾只会搬抄公式的某些教授强们多了,本书作者的实践之作,里面都是一些作者的设计作品和设计方法,推荐一看. 4. 《LC滤波器设计与制作》『日』森荣二著科学技术出版社 个人书评:语言及其通俗易懂,完全没有深奥的理论在里面,入门者看看不错,但是设计方法感觉有点落后,完全手工计算.也感觉内容的太细致,此书一般. 5. 《振荡电路设计与应用》『日』稻叶宝著科学技术出版社 个人书评:这边书还不错,除了学到振荡电路设计,还学到了很多模拟电路的基础应用,唯一缺点书中的内容涉及频率的都不够高(k级,几M,几十,几百M的振荡器),做有源电路的可以看一下,整体感觉还行. 6. 《锁相环电路设计与应用》『日』远坂俊昭著科学技术出版社 个人书评:对PLL原理总是搞不太明白的同学可以参考此书,图形图片很多,让人很直观明白,比起其他PLL书只会千篇一律写公式强千倍。好书,值得收藏! 7. 《信号完整性分析》『美』Eric Bogatin 著电子工业出版社 个人书评:前几章用物理的方法看电子,感觉不好理解,写的感觉很拗口,翻译好像也有些不到位,但后面几章写的确实好,尤其是关于传输线的,对你理解信号的传输的实际过程,能建立一个很好的模型,推荐大家看一下,此书还是不错的.(看多了RF的,换换胃口)8. 《高速数字设计》『美』Howard Johnson著电子工业出版社 个人书评:刚刚卓越买回来,还没有动“她”呢,随便翻了下目录,做高速电路和PCB Layout 的工程师一看要看下,这本书也是经典书喔! 9.《蓝牙技术原理开发与应用》『中』钱志鸿著北京航空航天大学出版社 个人书评:当时自己做蓝牙产品买的书,前2年仅有的几本,上面讲了一下蓝牙的基本理论(恰当的说翻译了蓝牙标准),软件,程序的东西占大部分内容. 10.《EMC电磁兼容设计与测试案例分析》『中』郑军奇著电子工业出版社 个人书评:实战性和很强的一本书,本人做产品经常要送去信息产业部电子研究5所做EMC 测试,认证.产品认证是产品成功的临门一脚,把这脚球踢好,老板会很赏识你的,如果你也负责产品的EMC,这本书必读。作者写有很多实例,很有代表性,对你解决EMC问题,会有引导性(指导性)的的意义。

最新射频电路设计原理与应用

射频电路设计原理与 应用

【连载】射频电路设计——原理与应用 相关搜索:射频电路, 原理, 连载, 应用, 设计 随着通信技术的发展,通信设备所用频率日益提高,射频(RF)和微波(MW)电路在通信系统中广泛应用,高频电路设计领域得到了工业界的特别关注,新型半导体器件更使得高速数字系统和高频模拟系统不断扩张。微波射频识别系统(RFID)的载波频率在915MHz和2450MHz频率范围内;全球定位系统(GPS)载波频率在1227.60MHz和1575.42MHz的频率范围内;个人通信系统中的射频电路工作在1.9GHz,并且可以集成于体积日益变小的个人通信终端上;在C波段卫星广播通信系统中包括4GHz的上行通信链路和6GHz的下行通信链路。通常这些电路的工作频率都在1GHz以上,并且随着通信技术的发展,这种趋势会继续下去。但是,处理这种频率很高的电路,不仅需要特别的设备和装置,而且需要直流和低频电路中没有用到的理论知识和实际经验。 下面的内容主要是结合我从事射频电路设计方向研究4年来的体会,讲述在射频电路设计中必须具备的基础理论知识,以及我个人在研究和工作中累积的一些实际经验。 作者介绍 ChrisHao,北京航空航天大学电子信息工程学院学士、博士生;研究方向为通信系统中的射频电路设计;负责或参与的项目包括:主动式射频识别系统设计、雷达信号模拟器射频前端电路设计、集成运算放大器芯片设计,兼容型GNSS接收机射频前端设计,等。 第1章射频电路概述 本章首先给出了明确的频谱分段以及各段频谱的特点,接着通过一个典型射频电路系统以及其中的单元举例说明了射频通信系统的主要特点。 第1节频谱及其应用 第2节射频电路概述 第2章射频电路理论基础 本章将介绍电容、电阻和电感的高频特性,它们在高频电路中大量使用,主要用于:(1)阻抗匹配或转换(2)抵消寄生元件的影响(扩展带宽)(3)提高频率选择性(谐振、滤波、调谐)(4)移相网络、负载等 第1节品质因数 第2节无源器件特性 第3章传输线 工作频率的提高意味着波长的减小,当频率提高到UHF时,相应的波长范围为10-100cm,当频率继续提高时,波长将与电路元件的尺寸相当,电压和电流不再保持空间不变,必须用波的特性来分析它们。 第1节传输线的基本参数 第2节终端带负载的传输线分析 (1) 第3节终端带负载的传输线分析 (2) 第4章史密斯圆图 为了简化反射系数的计算,P.H.Smith开发了以保角映射原理为基础的图解方法。这种近似方法的优点是有可能在同一个图中简单直观的显示传输线阻抗以及反射系数。本小节将对史密斯圆图进行系统的介绍。第1节史密斯圆图

射频实验分析报告

射频实验报告

————————————————————————————————作者:————————————————————————————————日期: 2

3 射频电路实验报告 学 专 学 生指 导 学年第学期 院: 信息与通信工程学院 业: 电子信息科学与技术 姓 名: 学 号: 教 师: 李永红 日期: 2012 年10 月28日 实验一 滤波器设计 一、 实验目的 (1) 掌握基本的低通和带通滤波器的设计方法。 (2) 学会使用微波软件对低通和高通滤波器进行设计和仿真,并分析结果。 二、 预习内容 (1) 滤波器的相关原理。 (2) 滤波器的设计方法。 三、 实验设备 microwave office 软件 四、 理论分析 滤波器的种类: (1) 按通带特性分为低通、高通、带通及带阻四种。 (2) 按频率响应分为巴特沃斯、 切比雪夫及椭圆函数等。 (3) 按使用原件又可分为l-c 性和传输线型。 五、软件仿真 设计一个衰减为3db ,截止频率为75mhz 的[切比雪夫型1db 纹波lc 低通滤波器 (zo=50ohm ),并且要求该滤波器在100mhz 至少有20db 的衰减。 图1-1切比雪夫型1db 纹波lc 低通滤波器电路图 图1-2 模拟仿真结果 六、结果分析 经过仿真,得到了两种滤波器的频率特性的到了结果。红色的曲线为低通滤波器,蓝色的 为带通滤波器,两种滤波器的特性可以鲜明地在图上看出差别。低通滤波器在低频区域,是通 带,通带非常的平缓,纹波较低,但是截至段不是很陡。带通滤波器具有较好的陡峭特性,但 是相对而言,通带比较窄而且纹波较大。 实验二 放大器设计 一、实验目的 (1) 掌握射频放大器的基本原理与设计方法。 (2) 学会使用微波软件对射频放大器进行设计和仿真,并分析结果。 二、预习内容 (1) 放大器的基本原理。 (2) 放大器的设计方法。 三、实验设备 microwave office 软件 四、理论分析 射频晶体管放大器常用器件为bjt 、fet 、mmic 。 放大器电路的设计主要是输入/输出匹配网络。输入匹配网络可按低噪声或高增益设计, 输出匹配网络要考虑尽可能高的增益。 五、软件仿真

相关文档
最新文档