武汉大学数字电路实验报告

武汉大学数字电路实验报告
武汉大学数字电路实验报告

武汉大学数字电路实验

报告

Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

数字电路实验报告

学号:姓名:班级:% % %

目录

实验一组合逻辑电路分析

一、实验目的

掌握逻辑电路的特点;

学会根据逻辑电路图分析电路的功能。

二、实验原理

74LS00集成片有四块二输入与非门构成,逻辑表达式为。

74LS20由两块四输入与非门构成。逻辑表达式为。

三、实验内容

实验一、根据下列实验电路进行实验:

将上述逻辑关系记录于下列表格中:

A B C D Y A B C D Y

0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 0 1 0 1 0 0

实验二、分析下图电路的密码

密码锁开锁的条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为”1”,将锁打开。否则,报警信号为”1”,接通警铃。

得出真指标如下:

由真值表可知此密码锁的密码是“1001”。

实验二组合逻辑实验(一)——半加器和全加器

一、实验目的

熟悉用门电路设计组合电路的原理和方法步骤。

预习内容

复习用门电路设计组合逻辑电路的原理和方法。

复习二进制的运算。

利用下列元器件完成:74LS283、74LS00、74LS51、74LS136;

完成用“异或”门、“与或非”门、“与非”门设计全加器的逻辑图;

完成用“异或”门设计的3变量判奇电路的原理图。

二、实验原理

1、半加器

半加器是算术运算电路中的基本单元,是完成1位二进制数相加的一种组合逻辑电路。

如果只考虑了两个加数本身,而没有考虑低位进位的加法运算,称为半加器。实现半加运算的电路称为半加器。两个1位二进制数的半加运算可用如下真值表所示。

说明:其中,A、B是两个加数,S表示和数,C表示进位数。

有真值表可得逻辑表达式:

2、全加器

全加器能进行加数、被加数和低位来的进位信号相加,并根据求和结果给出该位的进位信号。

根据全加器的功能,可列出它的真值表。

说明:其中A和B分别是被加数及加数,Ci为低位进位数,S为本位和数(称为全加和),Co为向高位的进位数。得出全加器逻辑表达式:

3、集成4位超前进位加法器74HC283

由于串行进位加法器的速度受到进位信号的限制,人们又设计了一种多位数超前进位加法逻辑电路,使每位的进位只由加数和被加数决定,而与低位的进位无关。

三、实验内容

1、用异或门、与或非门、与非门组成全加器,电路图如下图所示:

实验结果填入下表中:

2、用异或门设计3变量判奇电路,要求变量中1的个数为奇数时,输出为1。否则为0。实验电路图如下图所示。

实验结果填入下表中:

3、用异或门、与或非门、与非门组成全加器,电路实验图如下。

4、“74LS283”全加器逻辑功能测试 测试结果填入下表:

实验三 组合逻辑实验(二)数据选择器和译码器的应用

一、实验目的

熟悉数据选择器和数据分配器的逻辑功能和掌握其使用方法。

二、实验原理

数据选择器74LS151工作原理:数据选择器又称多路转换器或称多路开关,其功能是从多个输入数据中选择一个送往唯一通道输出。74LS151互补输出的8选1数据选择器,其引脚图如下图74LS151D 所示:

使能端S=1-

时,不论2

10A A A 、、状态如何,均无输出,多路开关被禁

止。

使能端S=0-

时,多路开关正常工作,据地址码

210A A A 、、的状态选择

07D ~D 中某一个通道的数据输送到输出端Q 。

数据分配器3-8线译码器74LS138工作原理

在译码器是能段输入数据信息,器件就成为一个数据分配器,如图所示为74LS138的引脚图。

该译码器共有3位二进制输入A 、B 、C ,共8种状态的组合,即可译出8个输出信号07

Y ~Y -

-

,输出为低电平有效。另外三个是使能端,当1

G 端

接高电平,

2

~G 、

3

~G 接地电平时,译码器处于工作状态。

三、实验内容

1、数据选择器的使用

当使能端EN=0时,Y 是2

A 、

1

A 、

o

A 和输入数据

7

~O D D 的与或函数,其

表达式为:

7

0i

i Y m D ==∑(表达式1)

式中i

m 是

2

A 、

1

A 、

o

A 构成的最小项,显然当

1

i D =时,其对应的最小项

i

m 在与或表达式中出现,当0

i D =时,其对应的最小项就不出现,利用这一点,

不难实现组合电路。 将数据选择器的地址信号

2

A 、

1

A 、

o

A 作为函数的输入变量,数据输入

7

~O D D 作为控制信号,各最小项在输出逻辑函数中是否出现,是能段EN 始终保持低电平,这样,八选一数据选择器就成为一个三变量的函数产生器。

① 用八选一数据选择器74LS151产生逻辑函数

该式符合表达式1的标准,显然1

D 、

3

D 、

6

D 、

7

D 都应该等于1,而式中没有

出现的最小项

m 、

2

m 、

4

m 、

5

m ,它们的控制信号0

D 、

2

D 、

4

D 、

5

D 都应该等

于0。由此可以画出该逻辑函数产生器的逻辑图。

② 用八一数据选择器74LS151产生逻辑函数

即74LS151输入端1、2、4、7接高电平,其余接低电平。 2、3线-8线译码器的应用

用3线-8线译码器74LS138和与非门构成一个全加器。

i 1247

m S A B C Y Y Y Y =⊕⊕=∑(1,2,4,7)=

实验四 触发器和计数器

一、实验目的

熟悉JK 触发器的基本逻辑功能和原理。 了解二进制计数器工作原理。 设计并验证十进制、六进制计数器。

二、实验原理

1、触发器

在时钟边沿脉冲作用下的状态刷新称为触发,具有这种特性的存储单元称为触发器。不同电路结构对时钟脉冲的敏感边沿可能不同。触发器在每次时钟脉冲触发沿到来之前的状态成为现态,而在此之后的状态称为次态。 触发器的逻辑功能是指次态与现态、输入信号之间的逻辑关系,这种关系可以用状态图、特性表、特性方程来描述。按照逻辑功能的不同,通常可以分为D 触发器、JK 触发器、T 触发器、SR 触发器。 2、JK 触发器

JK 触发器是数字电路触发器中的一种电路单元。JK 触发器具有置0、置1、保持和翻转功能,在各类集成触发器中,JK 触发器的功能最为齐全。可用简单的附加电路转化为其他功能的触发器。由JK 触发器可以构成D 触发器和T 触发器。JK 触发器如下图:

特性方程:n+1Q n

n

J Q K Q -

-

=+

当J=1,K=0,触发器的下一状态将置1;当J=0,K=1,将置0;当J=K=0,触发器状态保持不变;当J=K=1,触发器翻转。 3、SR 触发器

把两个与非门G1、G2的输入、输出端交叉连接,即可构成基本RS 触发器。仅有复位和置位功能的触发器成为SR 触发器。当S=R=1,触发器状态不确定。SR 触发器必须遵循SR=0的约束条件。逻辑符号如下:

特性方程:1

Q S ?SR 0(n n R -+??=+?

=?

?Q 约束条件) 实际上,另J=S,K=R ,便可用JK 触发器实现SR 触发器所有逻辑功能。 4、D 触发器

逻辑符号如下:

特性方程:

1Q D n += 常用的D 触发器有主从触发器和维持阻塞触发器。D 触发器的功能也较为完

善。可以转化为JK 、SR 、T 、'

T 触发器等。 三、实验内容

RS 触发器逻辑功能测试:

用一块74LS00与非门构成RS 触发器,连接CP 端,然后从CP 输入单脉冲,实验原理图如下:

用万用表测试Q 及Q 的电位,记录与下表:

连接CP端,然后从CP输入单脉冲。按下表进行测试并记录于表格。

实验结果及分析:

1、当R端无效,S端有效时,则Q=0,Q=1,触发器置1。

2、当R端有效、S端无效时,则Q=1,Q=0,触发器置0。当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q’有两种互补的稳定状态。

S=0,R=1使触发器置1,或称置位。因置位的决定条件是S=0。若触发器原来为1态,欲使之变为0态,必须令R端的电平由1变0,S端的电平由0变1。

3、当RS端均无效时,触发器状态保持不变。

4、当RS端均有效时,触发器状态不确定。在此条件下,两个与非门的输出端Q和Q全为1,在两个输入信号都同时撤去(回到1)后,由于两个与非门的延迟时间无法确定,触发器的状态不能确定是1还是0,因此称这种情况为不定状态,这种情况应当避免。

实验五数字电路实验综合实验

一、实验目的

学会计数器,译码器,寄存器,显示器的内容。

熟悉有关元件器件的脚管排列。

设计十进制计数译码显示电路。画出电路图。

二、实验原理

计数器分为同步计数器和异步计数器;按计数数值增减分类可分为加计数器,减计数器和可逆计数器;计数器的容量来区分。例如五进制,六十进制计数器等,计数器的容量也成为模,一个计数器的状态等于其模数。

异步计数器是一个四位异步二进制计数器,它由4个T’触发器组成。计数脉冲CP通过输入缓冲器加至触发器FF0的始终脉冲输入端,每输入一个计数脉冲,FF0翻转一次。FF1,FF2和FF3都以前级触发器的Q端输出作为触发信号,当Q0由1变为0时,FF1翻转,区域类推。从出台0000(由CR输入高电平脉冲使4个触发器全部置零)开始,每输入一个计数脉冲,计数器的状态就按二进制编码递增1,输入第16个计数脉冲开始,每输入一个计数脉冲,计数器的状态就按二进制编码值递增1,输入第16个脉冲构成一个计数周期,是模16(M=16)加数器。其中Q0的频率是CP的1/2,即实现了二分频,Q1得到CP的四分频,以此类推,Q2,Q3分别对CP进行了8分频和16分频,因而,计数器也可作为分频器使用。

异步计数器的原理,结构简单,因而触发器不是同时翻转,而是逐级脉动翻转实现的,故亦称为波纹计数器。当计数器从0111加1时,先后要经过0110,0100,0000几个状态,才最终翻转为1000。如果对0110,0100,0000译码时,这时译码输出端则会出现毛刺状波形。

同步二进制计数器,Q0在每个计数脉冲到来时都要翻转一次;Q1需要在Q0-=Q1=1时需要准备好翻转条件,更多的位数。于是,同步二进制计数器可用T触发器来实现,根据每个触发器状态翻转的条件确定其T输入端的逻辑值,以控制它是否翻转。

时钟脉冲CP 是计数脉冲输入端,也是芯片内4个触发器的公共时钟输入端。

异步清零CR 当它为低电平时,无论其它输入端是何种状态(包括时钟信号CP ),都使芯片内所有触发器状态置0,称为异步清零。CR 有优先最高的控制权。下述各信号都是在CR=1时才起作用。

并行置数使能PE 置数控制端。只需在CP 上升沿之前保持低电平,数据输入D3~D0的逻辑值便在CP 上升沿到来后置入芯片4个相应的触发器中。

同步并行预置 为保证数据正确输入,要求PE 在CP 上升沿之前建立稳定的低电平,其最短提前时间称为建立时间t ,PE 置数操作具有次高优先级,仅低于CR ,计数和保持操作时间都要求PE=1。

数据输入端D (D3~D0) 在上升沿到来后,D3~D0的数据便直入触发器。该市徐与D 触发器相似。CP 上升沿对D 的时序要求如图。

计数使能端CEP 只要在CP 上升沿到来前至少一个建立时间t 期间内保持高电平,且CET=1,CP 上升沿就能使计数器进行一次计数操作。它与CP 上升沿时序如图。CEP 主要控制本芯片的计数操作。

计数使能端CET 该信号和CEP 做与运算后实现对本芯片的技术控制,当CET,CEP=0,即有两个计数使能端中有0时,不管有无CP 脉冲作用,计数器都将停止计数,保持原有状态;当CR=PE=CEP=CET=1时处于计数状态。与CEP 不同的是,CET 还直接控制着进位输出信号TC 。

进位信号TC 只有当

3210CET 1, Q Q Q Q 1111

==且时,TC 才为1。表明下一

个CP 上升沿到来时将会有进位发生。

三、实验内容:

按自行设计的电路图接线。

1、六进制

2、十进制

3、六十进制

合上电源,当计数器预置初始状态“0000”后,将“指数”改为“1”态,由CP输入1HZ的连续方波。检查输入脉冲数与显示器上显示的十进制数字是否相符。实验六 555集成定时器

一、实验目的

熟悉与使用555集成定时器。

二、实验原理

555定时器如下图所示

器件说明:555集成定时器包括一个放电三极管T,两个电压比较器,一个基本RS触发器以及5KΩ电阻组成的分压器。比较器上的参考电压从分压器电阻上去的,分别为23E和3E。高电平触发端6和低电平触发端2作为阀值端和外触发输入端,用来启动电路电路。RST复位端为低电平时,电压输出为低电平,电压控制端CON可以在一定范围内调节比较器的参考电压,不用是将它与μ的电容器,以防止干扰电压引入。电源电压范围

地之间接0.01F

++,输出电流可高达200m A。利用这种定时器,只需外接RC电路,4.5~13V

就可以构成单稳电路,多谐振荡器,施密特触发器,接触开关等,应用广泛灵活。

555定时器的功能表如下:

555定时电路的几个特点:

555在电路结构上由模拟电路和数字电路组合而成,它将模拟功能和数字功能兼容一体,能够产生精确的时间延时和振荡。

它采用单电源,双极型555的电压范围为4.5~15V,而CMOS型的电源适应范围更宽,为2~18V。这样,它就可以和模拟运算放大器和TTL或CMOS 数字电路公用一个电源。

555可独立构成一个定时电路,且定时精度高。

555的最大输出电流达200mA,带负载能力强,可直接驱动小电机、喇叭、继电器等。

三、实验内容

1、555单稳电路

按图连接,组成一个单稳触发器。

测量输出端、控制端的电位并与理论计算值比较。

用示波器观察输出波形以及输出电压的脉宽。

ln3 1.1

w

t RC RC

==

2、555多谐振荡器

按图接线,组成一个多谐振荡器。输出矩形波的频率为:121.43(2C R R f +=).

用示波器观察输出波形。 3、接触开关

按图接线,构成一个接触开关。摸一下触摸线,LED 亮一秒。 实验现象分析:

1、555单稳电路

没有触发信号时输入端处于高电平(

3cc

V i v >),如果接通电源,电路处于一种稳定状态

o v =。若触发输入端施加触发信号(3cc

V i v <),电路的输

出状态由低电平跳变到高电平,电路进入暂稳态。此后电容C 充电,当C 充电至cc

23

V c v =

,电路的输出电压

o

v 有高电平翻转为低电平,同时555定时

器中的反放电三极管导通,于是电容C 放电,电路返回到稳定状态。 2、555多谐振荡器

电路接通电源后,电容C 被充电,当

c

v 上升到

23

cc V 时,使输出

o

v 为低电

平,同时放电三极管T 导通,此时电容C 通过1

R 和三极管放电,

c

v 下降。

c

v 下降到

3

cc V 时,

o

v 翻转为高电平。当放电结束时,T 截止,

cc

V 将通过

1

R 、2

R 向电容器C 充电,当c

v 上升到

23

cc V 时,电路又翻转为低电平。如此

周而复始,于是在电路的输出端就得到一个周期性的矩形波。 3、555接触开关

当触摸端悬空时相当于接高电平,最终输出o v 为低电平,灯灭。用手触摸2端瞬间相当于2端接地置的电平,输出端为高电平即灯亮,电容1

C

C放电则灯亮持续被充电。当手拿开后,触摸端由恢复为悬空状态,电容

1

到电容放电完毕。

接触过程相当于给输入端2一个下降沿的单脉冲(负脉冲),所以其工作原理与单稳电路相同。

实验总结:

555定时器中比较器因为没有反馈电路,所以比较器只能工作在饱和区和截止区,即比较器只能输出两个状态。当同相端电压>反相端电压时,输出为高电平,反之为低电平,由此控制基本RS触发器的工作状态。

555控制电压端通常接一个电容防止干扰电压的引入,如果在此端施加一个V),比较器的参考电压将发生变化,电路相应的阀值,触发电

外电压(0~

cc

平也将随之变化,进而影响电路的工作状态。

实验七数字秒表

一、实验目的

了解数字计时装置的基本工作原理和简单设计方法。

熟悉中规模集成器件和半导体显示器的使用。

了解简单数字装置的调试方法,验证所设计的数字秒表的功能。

实验参考元件:集成元件:555一片 74LS163二片 74LS248二片 LED二片74LS00二片。二极管IN4148一个;电位器100K一个;电阻、电容。二、实验原理

原理框图:

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

武汉大学计算机学院 嵌入式实验报告

武汉大学计算机学院 课程实验(设计)报告 课程名称:嵌入式实验 专业、班: 08级 姓名: 学号: 学期:2010-2011第1学期 成绩(教师填写) 实 一二三四五六七八九总评验 分数 分数 (百分制)

实验一80C51单片机P1口演示实验 实验目的: (1)掌握P1口作为I/O口时的使用方法。 (2)理解读引脚和读锁存器的区别。 实验内容: 用P1.3脚的状态来控制P1.2的LED亮灭。 实验设备: (1)超想-3000TB综合实验仪 1 台 (2)超想3000仿真器 1 台 (3)连线若干根 (4)计算机1台 实验步骤: (1)编写程序实现当P1.3为低电平时,发光管亮;P1.3为高电平时,发光管灭。 (2)修改程序在执行读P1.3之前,先执行CLR P1.3,观察结果是否正确,分析在第二种情况下程序为什 么不能正确执行,理解读引脚和读锁存器区别。 实验结果: (1)当P1.3为低电平时,发光管亮;P1.3为高电平时,发光管灭。 (2)不正确。因为先执行CLR P1.3之后,当读P1.3的时候它的值就一直是0,所以发光管会一直亮而不 会灭。单片机在执行从端口的单个位输入数据的指令(例如MOV C,P1.0)时,它需要读取引脚上的数据。此时,端口锁存器必须置为‘1’,否则,输出场效应管导通,回拉低引脚上的高输出电平。 系统复位时,会把所有锁存器置‘1’,然后可以直接使用端口引脚作为输入而无需再明确设置端口锁存器。但是,如果端口锁存器被清零(如CLR P1.0),就不能再把该端口直接作为输入口使用,除非先把对应的锁存器置为‘1’(如 SETB P1.0)。 (3)而在引脚负载很大的情况(如驱动晶体管)下,在执行“读——改——写”一类的指令(如CPL P1.0) 时,需要从锁存器中读取数据,以免错误地判断引脚电平。 实验二 80C51单片机RAM存储器扩展实验 实验目的: 学习RAM6264的扩展 实验内容: 往RAM中写入一串数据,然后读出,进行比较 实验设备: (1)超想-3000TB综合实验仪 1 台 (2)超想3000仿真器 1 台

武汉大学电力系统分析实验报告

电气工程学院 《电力系统分析综合实验》2017年度PSASP实验报告 学号: 姓名: 班级:

实验目的: 通过电力系统分析的课程学习,我们都对简单电力系统的正常和故障运行状态有了大致的了解。但电力系统结构较为复杂,对电力系统极性分析计算量大,如果手工计算,将花费 大量的时间和精力,且容易发生错误。而通过使用电力系统分析程序PSASP,我们能对电 力系统潮流以及故障状态进行快速、准确的分析和计算。在实验过程中,我们能够加深对电力系统分析的了解,并学会了如何使用计算机软件等工具进行电力系统分析计算,这对我们以后的学习和工作都是有帮助的。 潮流计算部分: 本次实验潮流计算部分包括使用牛顿法对常规运行方式下的潮流进行计算,以及应用PQ分解法规划运行方式下的潮流计算。在规划潮流运行方式下,增加STNC-230母线负荷的有功至1.5.p.u,无功保持不变,计算潮流。潮流计算中,需要添加母线并输入所有母线 的数据,然后再添加发电机、负荷、交流线、变压器、支路,输入这些元件的数据。对运行方案和潮流计算作业进行定义,就可以定义的潮流计算作业进行潮流计算。 因为软件存在安装存在问题,无法使用图形支持模式,故只能使用文本支持模式,所以 无法使用PSASP绘制网络拓扑结构图,实验报告中的网络拓扑结构图均使用Visio绘制, 请见谅。 常规潮流计算: 下图是常规模式下的网络拓扑结构图,并在各节点标注电压大小以及相位。 下图为利用复数功率形式表示的各支路功率(参考方向选择数据表格中各支路的i侧母

线至j侧),因为无法使用图形支持模式,故只能通过文本支持环境计算出个交流线功率,下图为计算结果。

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

武汉大学计算机网络实验报告 (2)

武汉大学教学实验报告 动力与机械学院能源动力系统及自动化专业2013 年11 月10 日

一、实验操作过程 1.在仿真软件packet tracer上按照实验的要求选择无线路由器,一般路由器和PC机构建一个无线局域网,局域网的网络拓扑图如下: 2.按照实验指导书上的表9.1(参数配置表)对路由器,DNS服务器,WWW服务器和PC机进行相关参数的配置: 服务器配置信息(子网掩码均为255.255.255.0) 主机名IP地址默认网关 DNS 202.2.2.1 202.2.2.2 WWW 202.3.3.1 202.3.3.3 路由器配置信息(子网掩码均为255.255.255.0) 主机名型号IP地址默认网关时钟频率ISP 2620XM e1/0:202.2.2.2 e1/1:202.3.3.3 s0/0:202.1.1.2 64000 Router2(Server) 2620XM f0/0:192.168.1.1 s0/0:202.1.1.1 Wireless Router Linksys WRT300N 192.168.1.2 192.168.1.1 202.2.2.1 备注:PC机的IP地址将通过无线路由器的设置自动分配 2.1 对router0(sever)断的配置: 将下列程序代码输到router0中的IOS命令行中并执行,对router0路由器进行设置。Router>en Router#conf t

2.3 WWW服务器的相关配置 对www服务器进行与DNS服务器相似的配置,包括它的IP地址,子网掩码,网关等,具体的相关配置图见下图: WWW服务器的相关配置图

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

电路仿真实验报告

本科实验报告实验名称:电路仿真

实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,

将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描

分析化学实验报告(武汉大学第五版)

分析化学实验报告 陈峻 (贵州大学矿业学院贵州花溪 550025) 摘要:熟悉电子天平得原理与使用规则,同时可以学习电子天平得基本操作与常用称量方法;学习利用HCl与NaOH相互滴定,便分别以甲基橙与酚酞为指示剂得 滴定终点;通过KHC 8H 4 O 4 标定NaOH溶液,以学习有机酸摩尔质量得测定方法、熟 悉常量法滴定操作并了解基准物质KHC 8H 4 O 4 得性质及应用;通过对食用醋总浓度 得测定,以了解强碱滴定弱酸过程中溶液pH得变化以及指示剂得选择。 关键词:定量分析;电子天平;滴定分析;摩尔质量;滴定;酸度,配制与标定 前言 实验就是联系理论与实际得桥梁,学好了各种实验,不仅能使学生掌握基本操作技能,提高动手能力,而且能培养学生实事求就是得科学态度与良好得实验习惯,促其形成严格得量得观念。天平就是大多数实验都必须用到得器材,学好天平得使用就是前提,滴定就是分析得基础方法,学好配制与滴定就是根本。 (一)、分析天平称量练习 一、实验目得: 1、熟悉电子分析天平得使用原理与使用规则。 2、学习分析天平得基本操作与常用称量法。 二、主要试剂与仪器 石英砂电子分析天平称量瓶烧杯小钥匙 三、实验步骤 1、国定质量称量(称取0、5000g 石英砂试样3份) 打开电子天平,待其显示数字后将洁净、干燥得小烧杯放在秤盘上,关好天平门。然后按自动清零键,等待天平显示0、0000 g。若显示其她数字,可再次按清零键,使其显示0、0000

g。 打开天平门,用小钥匙将试样慢慢加到小烧杯中央,直到天平显示0、5000 g。然后关好 天平门,瞧读数就是否仍然为0、5000g。若所称量小于该值,可继续加试样;若显示得量超过 该值,则需重新称量。每次称量数据应及时记录。 2、递减称量(称取 0、30~0、32 g石英砂试样 3 份) 按电子天平清零键,使其显示0、0000 g,然后打开天平门,将1个洁净、干燥得小烧杯 放在秤盘上,关好天平门,读取并记录其质量。 另取一只洁净、干燥得称量瓶,向其中加入约五分之一体积得石英砂,盖好盖。然后将 其置于天平秤盘上,关好天平门,按清零键,使其显示0、0000 g。取出称量瓶,将部分石英 砂轻敲至小烧杯中,再称量,瞧天平读数就是否在-0、30~-0、32 g 范围内。若敲出量不够, 则继续敲出,直至与从称量瓶中敲出得石英砂量,瞧其差别就是否合乎要求(一般应小于 0、4 mg)。若敲出量超过0、32 g,则需重新称量。重复上述操作,称取第二份与第三份试样。 四、实验数据记录表格 表1 固定质量称量 编号 1 2 3 m/g 0、504 0、500 0、503 表2 递减法称量 编号 1 2 3 m(空烧杯)/g 36、678 36、990 37、296 称量瓶倒出试样m1 -0、313 -0、303 -0、313 M(烧杯+试样)/g 36、990 37、296 37、607

武汉大学单级放大电路实验报告

武汉大学计算机学院教学实验报告 课程名称电路与电子技术成绩教师签名 实验名称单级放大电路(多人合作实验)实验序号06 实验日期2011-12-12 姓名学号专业年级-班 小题分: 一、实验目的及实验内容 (本次实验所涉及并要求掌握的知识;实验内容;必要的原理分析) 实验目的: 1.掌握放大器静态工作点的调试方法及其对放大器性能的影响。 2.学习测量放大器的静态工作点Q,Av,ri,ro的方法啊,了解共射极电路特性。 3.学习放大器的动态性能。 实验内容: 测量放大器的动态和静态工作状态结果填入相应表格当中,记录相应的β值,A值和等效的输入电阻ri与输出电阻r0。 二、实验环境及实验步骤 小题分: (本次实验所使用的器件、仪器设备等的情况;具体的实验步骤) 实验环境: 1.示波器 2.信号发生器 3.数字万用电表 4.TRE-A3模拟电路实验箱 实验步骤: 1.?值测量 (1)按图2.1所示连接电路,将Rp的阻值调到最大值。 (2)连线完毕仔细检查,确定无误后再接通电源。改变Rp,记录Ic分别为0.8mA,1mA, 1.2mA时三极管V的?值。

Ib(mA)0.05 0.06 0.066 Ic(mA) 0.8 1 1.2 ? 16 16.67 18.18 ?=Ic/Ib代入各式即可 2.Q点测量 信号源频率f=500Hz时,逐渐加大ui幅度,观察uo不失真时的最大输入ui值和最大输出uo值,并测量Ib,Ic,和VCE填入表2.2 表2.2 实测法估算法误差 IB (uA)IC (mA) Vce (V) IB’ (uA) IC’ (mA) V’ce (V) IB-I’B IC-I’C Vce-V’ 47.2 1.4 4.86 47.2 1.56 3 0 0.16 1.86 估算法:Ib=V1/(R1+R2)=12/(51k+200K)=47.2uA Ic= ?Ib=1.56mA Vce=V1-R3*Ic=3V 3.Av值测量 (1)将信号发生器调到频率f=500Hz,幅值为5mA,接到放大器输入端ui,观察ui和uo 端的波形,用示波器进行测量,并将测得的ui,uo和实测计算的Av值及理论估算的Av’值填入表2.3 表2.3 实测法估算法误差 Ui(mV)Uo(V) Av=uo/ui Av’Av’-Av 5 -1.3 -260 -31 .7 -55.7 估算法:Vbe=V1-Ib(R1+R2) Vce=V1-Ic*R3 Av’=Vce/Vbe=-315.7 (2)保持Vi=5mV不变,放大器接入负载RL,在改变Rc的数值情况下测量,并将计算结果填表2.4 表2.4 给定参数实 实测计 估算 Rc RL Vi(mV) V o(V) Av Av 2k 5k 5 0.83 165 177.89 2k 2k2 5 0.60 119 129.7 5k1 5k1 5 1.30 260 315.76 5k1 2k2 5 0.90 180 190.3

武汉大学计算机学院教学实验报告

武汉大学计算机学院教学实验报告 课题名称:电工实验专业:计算机科学与技术2013 年11 月15 日 实验名称电路仿真实验实验台号实验时数3小时 姓名秦贤康学号2013301500100年级2013 班3班 一、实验目的及实验内容 (本次实验所涉及并要求掌握的知识点;实验内容;必要的原理分析) 实验目的: 熟悉multisim仿真软件的使用 用multisim进行电路仿真,并验证书上的理论知识的正确性 内容:用仿真软件进行实验 二、实验环境及实验步骤 (本次实验所使用的器件、仪器设备等的情况;具体的实验步骤) 实验环境: 一台微机 实验步骤: 用multisim先进行电路仿真,再记录下相关数据 三、实验过程与分析 (详细记录实验过程中发生的故障和问题,进行故障分析,说明故障排除的过程和方法。根据具体实验,记录、整理相应的数据表格、绘制曲线、波形图等)

实验内容及数据记录 1、简单直流电路 简单直流电路在有载状态下电源的电阻、电压和电路 简单直流电路在短路状态下电源的电阻、电压和电路 简单直流电 路在 开路状 态下电源的电阻、电压和电路 2、复杂直 流电路 复杂直流电路中各元件上的电压 复杂直流电路中各元件上的电流 复杂直流电路在E1作用下负载上的电压和电流 复杂直流电路在E2作用下的电压和电流 复杂直流电路在E1与E2作用下的电压和电流 复杂直 流电路 中的等效电阻 R (k Ω) 1 2 3 4 5 I (mA ) 24000 24000 24000 24000 24000 U (V ) 0.000024 0.000024 0.000024 0.000024 0.000024 R (k Ω) 1 2 3 4 5 I (mA ) 12 6.09 4.011 3.011 2.412 U (V ) 11.94 11.997 11.99 8 11.998 11.999 R (k Ω) 1 2 3 4 5 I (mA ) 0.000176 0.000176 0.000176 0.000176 0.000176 U (V ) 12 12 12 12 12 RL (k Ω) 1 2 3 4 5 URL (V ) 6.799 8.497 9.269 9.710 9.995 UR1(V ) 5.198 3.501 2.730 2.289 2.004 UR2(V ) -3.200 -1.502 -0.731 -0.290 -0.005286 UE1(V ) 11.997 11.998 11.999 11.999 11.999 UE2(V ) 9.999 10.000 10.000 10.000 10.000 RL (k Ω) 1 2 3 4 5 IRL (mA ) 6.807 4.258 3.100 2.437 2.209 IR1(mA ) 5.198 3.505 2.733 2.292 2.006 IR2(mA ) -1.603 2.499 --1.999 -1.666 -1.428 IE1(mA ) 5.198 3.505 2.733 2.292 2.006 IE2(mA ) -1.603 -2.501 -2.000 -1.666 -1.428 RL (k Ω) 1 2 3 4 5 UE1(V ) 4.798 5.996 6.540 6.851 7.053 IE1(mA ) 4.803 3.004 2.187 1.720 1.418 RL (k Ω) 1 2 3 4 5 UE2(V ) 2.002 2.501 2.729 2.858 2.942 IE2(mA ) 2.002 1.252 0.911 0.718 0.592 RL (k Ω) 1 2 3 4 5 URL (V ) 6.802 8.497 9.269 9.710 9.995 IRL (mA ) 6.807 4.258 3.100 2.437 2.209 R3(k Ω) 1 2 3 4 5 R6(k Ω) 2 3 4 5 6 R7(k Ω) 3 4 5 6 7 RL (k Ω) -1.603 2.499 --1.999 -1.666 -1.428 URL (V ) 5.198 3.505 2.733 2.292 2.006 IRL (A ) -1.603 -2.501 -2.000 -1.666 -1.428 R3(k Ω) 1 2 3 4 5

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

武汉大学_数字电路—实验报告

数字电路实验报告 学号:姓名:班级:% % %

目录 实验一组合逻辑电路分析 (1) 一、实验目的 (1) 二、实验原理 (1) 三、实验内容 (1) 实验二组合逻辑实验(一)——半加器和全加器 (3) 一、实验目的 (3) 二、实验原理 (3) 三、实验内容 (4) 实验三组合逻辑实验(二)数据选择器和译码器的应用 (6) 一、实验目的 (6) 二、实验原理 (6) 三、实验内容 (7) 实验四触发器和计数器 (9) 一、实验目的 (9) 二、实验原理 (9) 三、实验内容 (10) 实验五数字电路实验综合实验 (12) 一、实验目的 (12) 二、实验原理 (12) 三、实验内容: (13) 实验六555集成定时器 (15) 一、实验目的 (15) 二、实验原理 (15) 三、实验内容 (16) 实验七数字秒表 (19) 一、实验目的 (19) 二、实验原理 (19) 三、实验内容 (21)

实验一组合逻辑电路分析 一、实验目的 掌握逻辑电路的特点; 学会根据逻辑电路图分析电路的功能。 二、实验原理 74LS00集成片有四块二输入与非门构成,逻辑表达式为。 74LS20由两块四输入与非门构成。逻辑表达式为。 三、实验内容 实验一、根据下列实验电路进行实验:

实验二、分析下图电路的密码 密码锁开锁的条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为”1”,将锁打开。否则,报警信号为”1”,接通警铃。

实验二 组合逻辑实验(一)——半加器和全加器 一、实验目的 熟悉用门电路设计组合电路的原理和方法步骤。 预习内容 复习用门电路设计组合逻辑电路的原理和方法。 复习二进制的运算。 利用下列元器件完成:74LS283、74LS00、74LS51、74LS136; 完成用“异或”门、“与或非”门、“与非”门设计全加器的逻辑图; 完成用“异或”门设计的3变量 判奇电路的原理图。 二、实验原理 1、半加器 半加器是算术运算电路中的基本单元,是完成1位二进制数相加的一种组合逻辑电路。 如果只考虑了两个加数本身,而没有考虑低位进位的加法运算,称为半加器。实现 说明:其中,A 、B 是两个加数,S 表示和数,C 表示进位数。 有真值表可得逻辑表达式: ?? ?=+=AB C B A B A S 2、全加器 全加器能进行加数、被加数和低位来的进位信号相加,并根据求和结果给出该位的进位信号。 说明:其中A 和B 分别是被加数及加数,Ci 为低位进位数,S 为本位和数(称为全加和),Co 为向高位的进位数。得出全加器逻辑表达式: ?????⊕+=++=⊕⊕=+++=i i i o i i i i i C B A AB BC A C B A AB C C B A ABC C B A C B A C B A S )(

实验三 Matlab的数字调制系统仿真实验(参考)

成都理工大学实验报告 课程名称:数字通信原理 姓名:__________________学号:______________ 成绩:____ ___ 实验三Matlab的数字调制系统仿真实验(参考) 1 数字调制系统的相关原理 数字调制可以分为二进制调制和多进制调制,多进制调制是二进制调制的推广,主要讨论二进制的调制与解调,简单讨论一下多进制调制中的差分相位键控调制(M-DPSK)。 最常见的二进制数字调制方式有二进制振幅键控(2-ASK)、移频键控(2-FSK)和移相键控(2-PSK 和2-DPSK)。下面是这几种调制方式的相关原理。 1.1 二进制幅度键控(2-ASK) 幅度键控可以通过乘法器和开关电路来实现。载波在数字信号1 或0 的控制下通或断,在信号为1 的状态载波接通,此时传输信道上有载波出现;在信号为0 的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端我们就可以根据载波的有无还原出数字信号的1 和0。 幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波在二进制调制信号控制下通断,此时又可称作开关键控法(OOK)。多电平MASK调制方式是一种比较高效的传输方式,但由于它的抗噪声能力较差,尤其是抗衰落的能力不强,因而一般只适宜在恒参信道下采用。 2-ASK 信号功率谱密度的特点如下: (1)由连续谱和离散谱两部分构成;连续谱由传号的波形g(t)经线性调制后决定,离散谱由载波分量决定; (2)已调信号的带宽是基带脉冲波形带宽的二倍。 1.2 二进制频移键控(2-FSK) 数字频率调制又称频移键控(FSK),二进制频移键控记作2FSK。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK

数字电路仿真实验报告

数字逻辑与CPU 仿真实验报告 姓名: 班级: 学号:

仿真实验 摘要:Multisim是Interactive Image Technologies公司推出的以Windows为基础的仿真工具,具有丰富的仿真分析能力。本次仿真实验便是基于Multisim软件平台对数字逻辑电路的深入研究,包括了对组合逻辑电路、时序逻辑电路中各集成元件的功能仿真与验证、对各电路的功能分析以及自行设计等等。 一、组合逻辑电路的分析与设计 1、实验目的 (1)掌握用逻辑转换器进行逻辑电路分析与设计的方法。 (2)熟悉数字逻辑功能的显示方法以及单刀双掷开关的应用。 (3)熟悉字信号发生器、逻辑分析仪的使用方法。 2、实验内容和步骤 (1)采用逻辑分析仪进行四舍五入电路的设计 ①运行Multisim,新建一个电路文件,保存为四舍五入电路设计。 ②在仪表工具栏中跳出逻辑变换器XLC1。 图1-1 逻辑变换器以及其面板 ③双击图标XLC1,其出现面板如图1-1所示 ④依次点击输入变量,并分别列出实现四舍五入功能所对应的输出状态(点击输出依 次得到0、1、x状态)。 ⑤点击右侧不同的按钮,得到输出变量与输入变量之间的函数关系式、简化的表达式、 电路图及非门实现的逻辑电路。 ⑥记录不同的转换结果。

(2)分析图1-2所示代码转换电路的逻辑功能 ①运行Multisim,新建一个电路文件,保存为代码转换电路。 ②从元器件库中选取所需元器件,放置在电路工作区。 ?从TTL工具栏选取74LS83D放置在电路图编辑窗口中。 ?从Source库取电源Vcc和数字地。 ?从Indictors库选取字符显示器。 ?从Basic库Switch按钮选取单刀双掷开关SPD1,双击开关,开关的键盘控制设 置改为A。后面同理,分别改为B、C、D。 图1-2 代码转换电路 ③将元件连接成图1-2所示的电路。 ④闭合仿真开关,分别按键盘A、B、C、D改变输入变量状态,将显示器件的结果填 入表1-1中。 ⑤说明该电路的逻辑功能。 表1-1 代码转换电路输入输出对应表

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

(完整版)基于QuartusII的数字电路仿真实验报告手册

数字电路仿真实验报告 班级通信二班姓名:孔晓悦学号:10082207 作业完成后,以班级为单位,班长或课代表收集齐电子版实验报告,统一提交. 文件命名规则如“通1_王五_学号” 一、实验目的 1. 熟悉译码器、数据选择器、计数器等中规模数字集成电路(MSI)的逻辑功能及其使 用方法。 2. 掌握用中规模继承电路构成逻辑电路的设计方法。 3. 了解EDA软件平台Quartus II的使用方法及主要功能。 二、预习要求 1. 复习数据选择器、译码器、计数器等数字集成器件的工作原理。 2. 熟悉所有器件74LS153、74LS138、74LS161的功能及外引线排列。 3.完成本实验规定的逻辑电路设计项目,并画出接线图,列出有关的真值表。 三、实验基本原理 1.译码器 译码器的逻辑功能是将每个输入的二进制代码译成对应的高、低电平信号。译码器按功能可分为两大类,即通用译码器和显示译码器。通用译码器又包括变量译码器和代码变换译码器。 变量译码器是一种完全译码器,它将一系列输入代码转换成预知一一对应的有效信号。 这种译码器可称为唯一地址译码器。如3线—8线、4线—16线译码器等。 显示译码器用来将数字或文字、符号的代码译成相应的数字、文字、符号的电路。如BCD-七段显示译码器等。 2.数据选择器 数据选择器也陈伟多路选择器或多路开关,其基本功能是:在选择输入(又称地址输入)信号的控制下,从多路输入数据中选择某一路数据作为输出。因此,数据选择器实现的是时分多路输入电路中发送端电子开关的功能,故又称为复用器。一般数据选择器有n 个地址输入端,2n错误!未找到引用源。个数据输入端,一个数据输出端或反码数据输出端,同时还有选通端。目前常用的数据选择器有2选1、4选1、8选1、16选1等多种类型。 3.计数器 计数器是一个庸医实现技术功能的时序部件,它不仅可以用来对脉冲计数,还常用作数字系统的定时、分频、执行数字运算以及其他一些特定的逻辑功能。 74LS161是4位同步二进制计数器,它除了具有二进制加法计数功能外,还具有预置数、保质和异步置零等附加功能。 四、实验内容

北京邮电大学数字电路实验报告

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能,并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器能够由两个半加器和一个或门构成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表 示式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)(

实验一 典型环节的电路模拟与数字仿真实验

实验一典型环节的电路模拟与数字仿真实验 一实验目的 通过实验熟悉各种典型环节传递函数及其特性,掌握电路模拟和数字仿真研究方法。 二实验内容 1.设计各种典型环节的模拟电路。 2.编制获得各种典型环节阶跃特性的数字仿真程序。 3.完成各种典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响。 4.运行所编制的程序,完成典型环节阶跃特性的数字仿真研究,并与电路模拟研究的结果作比较。 三实验步骤 1.熟悉实验设备,设计并连接各种典型环节的模拟电路; 2.利用实验设备完成各典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响; 3.用MATLAB编写计算各典型环节阶跃特性的数字仿真研究,并与电路模拟测试结果作比较。分析实验结果,完成实验报告。 四实验结果 1.积分环节模拟电路、阶跃响应

仿真结果: 2.比例积分环节模拟电路、阶跃响应 仿真结果:

3.比例微分环节模拟电路、阶跃响应 仿真结果: 4.惯性环节模拟电路、阶跃响应

仿真结果: 5.实验结果分析: 积分环节的传递函数为G=1/Ts(T为积分时间常数),惯性环节的传递函数为G=1/(Ts+1)(T为惯性环节时间常数)。 当时间常数T趋近于无穷小,惯性环节可视为比例环节, 当时间常数T趋近于无穷大,惯性环节可视为积分环节。

实验二典型系统动态性能和稳定性分析的电路模拟与数 字仿真研究 一实验目的 1.学习和掌握动态性能指标的测试方法。 2.研究典型系统参数对系统动态性能和稳定性的影响。 二实验内容 1.观测二阶系统的阶跃响应,测出其超调量和调节时间,并研究其参数变化对动态性能和稳定性的影响。 三实验步骤 1.熟悉实验设备,设计并连接由一个积分环节和一个惯性环节组成的二阶闭环系统的模拟电路; 2.利用实验设备观测该二阶系统模拟电路的阶跃特性,并测出其超调量和调节时间; 3.二阶系统模拟电路的参数观测参数对系统的动态性能的影响; 4.分析结果,完成实验报告。 四实验结果 典型二阶系统 仿真结果:1)过阻尼

相关文档
最新文档