第4,5章 触发器,时序逻辑电路习题答案...

第4,5章  触发器,时序逻辑电路习题答案...
第4,5章  触发器,时序逻辑电路习题答案...

第4章 触发器

4.3 若在图4.5电路中的CP 、S 、R 输入端,加入如图4.27所示波形的信号,试画出其

Q 和Q 端波形,设初态Q =0。

S

R

CP

图4.27 题4.3图

解:图4.5电路为同步RS 触发器,分析作图如下:

S R

CP

Q

4.5 设图4.28中各触发器的初始状态皆为Q =0,画出在CP 脉冲连续作用下个各触发器输出端的波形图。

Q 1

1J 1C11K

CP

Q 3

>1CP

1T

C1

1J C11K

CP

Q 2●

>>1D C1

CP

Q 6

1J

C11K

>●

Q 4

CP

CP

1S 1R

Q 5

C1●

CP

图4.28 题4.5图

解:

Q Q n

n 11

1=+ Q Q n n 212=+ Q Q n n 313=+ Q Q n n 414=+ Q Q n n 515=+ Q Q n

n 616=+

Q 1CP Q 2Q 3Q 4Q 5Q

6

4.6 试写出 图4.29(a)中各触发器的次态函数(即Q 1 n+1 、 Q 2 n+1与现态和输入变量之间的函数式),并画出在图4.29(b )给定信号的作用下Q 1 、Q 2的波形。假定各触发器的初始状态均为Q =0。

Q 1

&

≥1

CP

A B

1S C11R

>CP

>1D C1

=1

A B

Q 1

Q 2

Q 2

(a)

B

A

(b)

图4.29

题4.6图

解:由图可见:

Q B A AB Q n n 111)(++=+ B A Q n ⊕=+1

2

B A Q 2

Q 1

4.7 图4.30(a )、(b )分别示出了触发器和逻辑门构成的脉冲分频电路,CP 脉冲如图4.30(c )所示,设各触发器的初始状态均为0。

(1)试画出图(a )中的Q 1、Q 2和F 的波形。 (2)试画出图(b )中的Q 3、Q 4和Y 的波形。

≥1

1

1D

>C1

1D

>C1CP Y

(b )

(c )

CP

=1

>1D >1D R

C1Q 1

Q 2

F CP

(a )

C1

Q Q Q 4

Q 3Q Q

图4.30 题4.7图

解: (a )

Q Q n

n 211=+ Q Q

n

n 112=+ Q F 1CP ⊕= R 2 = Q 1 低电平有效

CP

Q 1Q 2F

(b )

Q Q Q n n n 4313=+ Q Q Q n n n 4314=+ Q Q Y n

n

43=

CP 3= CP 上降沿触发 CP 4= CP 下降沿触发

CP

Q 3Q 4Y

4.8 电路如图4.31所示,设各触发器的初始状态均为0。已知CP 和A 的波形,试分别画出Q 1、Q 2的波形。

1J >1J 1K

C1CP

C1Q Q

=1

Q 2

Q 1

1K

A 1

A

CP

图4.31 题4.8图

解:由图可见

Q Q n n 1

11=+

Q Q A Q n n n 2112⊕⊕=+

A

CP

Q 1

Q 2

4.9 电路如图4.32所示,设各触发器的初始状态均为0。已知CP 1、CP 2的波形如图示,试分别画出Q 1、Q 2的波形。

1D >C11D >C1CP 1

Q 2

Q Q 1R 1

R

Q 1

CP 2

Q

CP 1

CP 2

图4.32 题4.9图

解:

111=+Q n 11

2=+Q n Q R D 21= Q R D 12=

CP 1

CP 2

Q 1Q 2

第5章 时序逻辑电路

5.1 分析图5.39时序电路的逻辑功能,写出电路的驱动方程、状态方程,设各触发器的初始状态为0,画出电路的状态转换图,说明电路能否自启动。

FF 0

FF 1

1J C11K

1J C11K 1J C11K ●

CP

1

FF 2

Q 0

Q 1

Q 2

图5.39 题5.1图

解: 驱动方程:J 0=K 0=1, J 1=K 1=Q 0, J 2=K 2=Q 0Q 1

状态方程:Q Q n

n 01

0=+,Q Q Q Q Q n n n n n 10101

1+=+,Q Q Q Q Q Q

Q n

n n n n n n 21021012+=+

状态转换图:

110111101

011

010

001

000

Q 0

Q 2Q 1100

功能:同步三位二进制加法计数器,可自启动 。

5.5 用JK 触发器和门电路设计满足图5.43所示要求的两相脉冲发生电路。

5.43 题5.5图

解: 分析所给波形,可分为4个状态,00、01、11、01、00,由于有2个状态相同但次态不同,在实现途径上采用设计一个4进制计数器,再通过译码实现。计数器采用同步二进制加法计数器,其状态方程如下:

Q Q n n 010=+ Q Q Q Q Q n n n 101011+=+

采用JK 触发器,把上述状态方程与其特性方程比较系数,可见J 0=K 0=1,J 1=K 1= Q 0,设计电路如下:

1

1J

C1

1K 1J C11K FF 1

FF 0

CP

1

Q 0

Q 1

>1

&

Y 0

Y 1

分析图示电路,可得其工作波形如下所示,可见满足题目要求。

CP Q 0Q 1

Y 0Y 1

5.6 试用双向移位寄存器74194构成6位扭环计数器。 解:作状态转换图如下: 用74194实现,首先扩展成8位移位寄存器;其次反馈形成扭环形计数器;解决启动的方法可采用清零或者置数法。此处采用清零法。

5.7 由74290构成的计数器如图5.44所示,分析它们各为几进制计数器。

图5.44 题5.7图 解:CP1=CP, S91= S92=0,R01= R02= Q3。电路的基本连接形式是5进制计数器,采用反馈清零法形成4进制计数器。其状态转换图如下:

CP1=CP, S91= S92=0,R01= Q1 ,R02= Q2。电路的基本连接形式是5进制计数器,采用反馈清零法形成3进制计数器。其状态转换图如下:

CP0=CP, CP1= Q0,S91= S92=0,R01=R02= Q3。电路的基本连接形式是10进制计数器,采用反馈清零法形成8进制计数器。其状态转换图如下:

CP0=CP, CP1= Q0,S91= S92=0,R01= Q0,R02= Q3。电路的基本连接形式是10进制计数器,采用反馈清零法形成9进制计数器。其状态转换图如下:

5.8 试画出图5.45所示电路的完整状态换图。 图5.45 题5.8图 解:EP=ET= 1,RD=1,LD= Q2,DCBA= Q3100。电路采用反馈置数法,且2次所置的数不同。采用反馈置数法形成10进制计数器。其状态转换图如下:

试用74161设计一个计数器,其计数状态为0111~1111。

解: 作状态转换图,并作电路图如下:

5.10 试分析图5.46所示电路,画出它的状态图,说明它是几进制计数器。

图5.46 题5.10图

解: 分析图示电路,可见采用反馈清零法实现10进制计数器,其状态转换图如下:

5.11 试用74160构成二十四进制计数器,要求采用两种不同的方法。

解:74160为同步10进制加法计数器,功能表及管脚与74161相同。实现24进制计数器的途径是:先用2片74160扩展为100进制计数器,然后采用反馈清零法或者反馈置数法实现24进制计数器。

反馈清零法:LD=1,

反馈置数法:RD=1,DCBA=0000

讨论:也可用74160分别实现4进制和6进制计数器,然后级联;或者分别实现3进制和8进制计数器,然后级联。

5.12 试设计一个能产生011100111001110的序列脉冲发生器。

解:采用计数器+数据选择器的实现途径。按题意应有一个15进制计数器和一个16选1数据选择器。计数器采用74161通过反馈置数法实现,数据选择器采用2片74151扩展构成。电路图如下:

5.13 设计一个灯光控制逻辑电路。要求红、绿、黄三种颜色的灯在时钟信号作用下按表5.14规定的顺序转换状态。表中的1表示灯“亮”,0表示灯“灭”。

解:分析题目要求,方案一可用8进制计数器和3个数据选择器实现;方案二用计数器和门电路实现。此处采用方案二设计电路如下。

5.14 试用JK触发器和与非门设计一个11进制加计数器。

解:作状态转换表如下:

K0=1

5.15 试用JK触发器(具有异步清零功能)和门电路采用反馈清零法设计一个9进制计数器。

解:依据题意,先用4个JK触发器组成4位二进制计数器,然后利用反馈清零法

实现9进制计数器。

上述电路存在的问题是:如果FF0或者FF3先清零,则RD端的清零信号消失,FF1、FF2可能达不到清零的目的。改进的电路如下图所示,电路中利用了基本RS触发器的记忆功能。

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

触发器和时序逻辑电路习题答案

第21章 触发器和时序逻辑电路 191、触发器按其工作状态是否稳定可分为( b )。 (a)RS 触发器,JK 触发器,D 触发器,T 触发器; (b)双稳态触发器,单稳态触发器,无稳态触发器; (c)主从型触发器,维持阻塞型触发器。 192、逻辑电路如图所示,当A=“1”时,基本RS 触发器( c )。 (a)置“1”; (b)置“0”; (c)保持原状态。 ≥1A ""1R D Q Q S D 193、 逻辑电路如图所示,分析C ,S ,R 的波形,当初始状态为“0”时,输出Q 是“0”的瞬间为( c )。 (a)1t ; (b)2t ; (c)3t 。 C S R t 1t 2t 3S C R D R S D Q Q 194、 某主从型JK 触发器,当J=K=“1”时,C 端的频率f=200Hz ,则Q 的频率为( c )。 (a)200Hz ; (b)400Hz ; (c)100Hz 。 195、逻辑电路如图所示,当A=“1”时,C 脉冲来到后JK 触发器( a )。 (a)具有计数功能; (b)置“0”; (c)置“1”。 ≥1 A J C R D K S D Q Q "" 1""1 196、 逻辑电路如图所示,A=“0”时,C 脉冲来到后D 触发器( b )。 (a)具有计数器功能; (b)置“0”; (c)置“1”。

D C Q Q & A 197、逻辑电路如图所示,分析C 的波形,当初始状态为“0”时,输出Q 是“0”的瞬间为( a )。 (a) 1t ; (b)2t ; (c)3t 。 D C Q Q C t 1t 2t 3 198、逻辑电路如图所示,它具有( a )。 (a)D 触发器功能; (b)T 触发器功能; (c)T'触发器功能。 J C R D K S D Q Q 1 199、逻辑电路如图所示,它具有( b )。 (a)D 触发器功能; (b)T 触发器功能; (c)T'触发器功能。 J C R D K S D Q Q 200、时序逻辑电路与组合逻辑电路的主要区别是( c )。 (a)时序电路只能计数,而组合电路只能寄存; (b)时序电路没有记忆功能,组合电路则有; (c)时序电路具有记忆功能,组合电路则没有。 201、寄存器与计数器的主要区别是( b )。 (a)寄存器具有记忆功能,而计数器没有; (b)寄存器只能存数,不能计数,计数器不仅能连续计数,也能存数; (c)寄存器只能存数,计数器只能计数,不能存数。 202、移位寄存器与数码寄存器的区别是( a )。 (a)前者具有移位功能,后者则没有; (b)前者不具有移位功能,后者则有; (c)两者都具有移位功能和计数功能。

时序逻辑电路习题解答

5-1 分析图所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 图 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=?? =?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 12 1()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为: 01n n Y AQ Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图(a)所示,其输入波形如图 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为: 0010110001101101 1, ,n n n n n n n n n n J X K X J XQ K X Q X Q XQ X Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=?? ?=+=+?= 根据状态方程和输出方程,可分别做出11 10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此 做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

时序逻辑电路试题

第五章时序电路 一、选择题 1.同步计数器和异步计数器比较,同步计数器的显著优点是。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P控制。 2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 3.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 4.N个触发器可以构成最大计数长度(进制数)为的计数器。 》 5.N个触发器可以构成能寄存位二进制数码的寄存器。 +1 6.五个D触发器构成环形计数器,其计数长度为。 7.同步时序电路和异步时序电路比较,其差异在于后者。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 8.一位8421B C D码计数器至少需要个触发器。 [ 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同 步二进制计数器,最少应使用级触发器。 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个触发器。 12.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z的脉冲转换为60H Z的脉冲,欲构成此分频器至少需要个触发器。

13.某移位寄存器的时钟脉冲频率为100K H Z ,欲将存放在该寄存器中的数左移8 位,完成该操作需要 时间。 μS μS μS [ 14.若用J K 触发器来实现特性方程为AB Q A Q n 1n +=+,则J K 端的方程为 。 =A B ,K =B A + =A B ,K =B A =B A +,K =A B =B A ,K =A B 15.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要 片。 16.若要设计一个脉冲序列为10的序列脉冲发生器,应选用 个触发器。 二、判断题(正确打√,错误的打×) 1.同步时序电路由组合电路和存储器两部分组成。( ) 2.组合电路不含有记忆功能的器件。( ) ~ 3.时序电路不含有记忆功能的器件。( ) 4.同步时序电路具有统一的时钟CP 控制。( ) 5.异步时序电路的各级触发器类型不同。( ) 6.环形计数器在每个时钟脉冲CP 作用时,仅有一位触发器发生状态更新。( ) 7.环形计数器如果不作自启动修改,则总有孤立状态存在。( ) 8.计数器的模是指构成计数器的触发器的个数。( ) 9.计数器的模是指对输入的计数脉冲的个数。( ) 10.D 触发器的特征方程Q n +1=D ,而与Q n 无关,所以,D 触发器不是时序电路。( ) 11.在同步时序电路的设计中,若最简状态表中的状态数为2N ,而又是用N 级 触发器来实现其电路,则不需检查电路的自启动性。( ) 12.把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。( ) < 13.同步二进制计数器的电路比异步二进制计数器复杂,所以实际应用中较少使 用同步二进制计数器。( ) 14.利用反馈归零法获得N 进制计数器时,若为异步置零方式,则状态S N 只是 短暂的过渡状态,不能稳定而是立刻变为0状态。( )

(完整版)时序逻辑电路习题与答案

第12章时序逻辑电路 自测题 一、填空题 1.时序逻辑电路按状态转换情况可分为时序电路和时序电路两大类。 2.按计数进制的不同,可将计数器分为、和N进制计数器等类型。 3.用来累计和寄存输入脉冲个数的电路称为。 4.时序逻辑电路在结构方面的特点是:由具有控制作用的电路和具记忆作用电路组成。、 5.、寄存器的作用是用于、、数码指令等信息。 6.按计数过程中数值的增减来分,可将计数器分为为、和三种。 二、选择题 1.如题图12.1所示电路为某寄存器的一位,该寄存器为 。 A、单拍接收数码寄存器; B、双拍接收数码寄存器; C、单向移位寄存器; D、双向移位寄存器。 2.下列电路不属于时序逻辑电路的是。 A、数码寄存器; B、编码器; C、触发器; D、可逆计数器。 3.下列逻辑电路不具有记忆功能的是。 A、译码器; B、RS触发器; C、寄存器; D、计数器。 4.时序逻辑电路特点中,下列叙述正确的是。 A、电路任一时刻的输出只与当时输入信号有关; B、电路任一时刻的输出只与电路原来状态有关; C、电路任一时刻的输出与输入信号和电路原来状态均有关; D、电路任一时刻的输出与输入信号和电路原来状态均无关。 5.具有记忆功能的逻辑电路是。 A、加法器; B、显示器; C、译码器; D、计数器。 6.数码寄存器采用的输入输出方式为。 A、并行输入、并行输出; B、串行输入、串行输出; C、并行输入、串行输出; D、并行输出、串行输入。 三、判断下面说法是否正确,用“√"或“×"表示在括号 1.寄存器具有存储数码和信号的功能。( ) 2.构成计数电路的器件必须有记忆能力。( ) 3.移位寄存器只能串行输出。( ) 4.移位寄存器就是数码寄存器,它们没有区别。( ) 5.同步时序电路的工作速度高于异步时序电路。( ) 6.移位寄存器有接收、暂存、清除和数码移位等作用。() 思考与练习题 12.1.1 时序逻辑电路的特点是什么? 12.1.2 时序逻辑电路与组合电路有何区别? 12.3.1 在图12.1电路作用下,数码寄存器的原始状态Q3Q2Q1Q0=1001,而输入数码

电子技术——几种常用的时序逻辑电路习题及答案

第七章 几种常用的时序逻辑电路 一、填空题 1.(9-1易)与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.(9-1易)触发器是数字电路中______(a.有记忆b.非记忆)的基本逻辑单元。 3.(9-1易)在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4.(9-1中)JK 触发器是________(a.CP 为1有效b.CP 边沿有效)。 5.(9-1易)1n n n Q JQ KQ +=+是_______触发器的特性方程。 6.(9-1中)1n n Q S RQ +=+是________触发器的特性方程,其约束条件为___________。 7.(9-1易)1n n n Q TQ TQ +=+是_____触发器的特征方程。 8. (9-1中)在T 触发器中,若使T=____,则每输入一个CP ,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T 触发器,它的特征方程是________________。 9.(9-1难)我们可以用JK 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器;令________________,即转换成D 触发器。 10.(9-1难)我们可以用D 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器。

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

触发器是构成时序逻辑电路的基本单元

触发器是构成时序逻辑电路的基本单元,触发器按逻辑功能分为RS触发器、JK触发器、D触发器、T触发器和T′触发器等多种类型;按其电路结构分为主从型触发器和维持阻塞型触发器等。 1.JK触发器 (1)JK触发器符号及功能 JK触发器有两个稳定状态:一个状态是Q=1,Q=0,称触发器处于“1”态,也叫置位状态;另一个状态是Q=0,Q=1,称触发器处于“0”态,也叫复位状态。JK触发器具有“置0”、“置1”、保持和翻转功能,符号如图l所示。 反映JK触发器的Q n和Q n、J、K之间的逻辑关系的状态表见表1。状态表中,Qn表示时钟脉冲来到之前触发器的输出状态,称为现态,Q n+1表示时钟脉冲来到之后的状态,称为次态。

图l JK触发器符号表1 JK触发器的状态表 JK触发器的特性方程为 JK触发器的种类很多,有双JK触发器74LS107,双JK触发器74LS114,741S112,74HC73,74HCT73等,有下降沿触发的,也有上升沿触发的。图l所示的JK触发器是下降沿触发的。

(2)双JK触发器74LS76 74LS76是有预置和清零功能的双JK触发器,引脚如图2所示,有16个引脚。功能表见表2,74LS76是下降沿触发的。 图2 74LS76引脚图表 2 74LS76的功能表 ①当R D=0,S D=1时

不论CP,J,K如何变化,触发器的输出为零,即触发器为“0”态。由于清零与CP脉冲无关,所以称为异步清零。 ②当R D=1,S D=0时 不论CP,J,K如何变化,触发器可实现异步置数,即触发器处于“1”态。 ③当R D=1,S D=1时 只有在CP脉冲下降沿到来时,根据J,Κ端的取值决定触发器的状态,如无CP脉冲下降沿到来,无论有无输人数据信号,触发器保持原状态不变。 2.D触发器 (1)D触发器符号及功能 D触发器具有置“0”和置“1”功能,其逻辑符号如图3所示,其逻辑功能为:在CP上升沿到来时,若D=I,则触发器置1;若D=0,则触发器置0,D触发器的特性方程为 D触发器的状态表见表3

第五章时序逻辑电路

第五章时序逻辑电路

第五章 触发器 本章教学目的、要求: 1. 掌握各种触发器的逻辑功能和工作原理。 2. 熟悉各种触发器的电路结构及动作特点。 3. 了解不同功能触发器之间的相互转换。 重点:触发器的逻辑功能和动作特点。 难点:触发器的不同电路结构及各自的动作特点。 第一节 概 述 触发器:(Flip-Flop)能存储一位二进制信号的基本单元。用FF 表示。 特点: 1.具有两个能自行保持的稳定状态,用来表示逻辑状态的0和1,或二进制数的0和1。 2.根据不同的输入信号可以置成 1 或 0 状态。 根据电路结构不同分为:基本RS 触发器、同步RS 触发器、主从触发器、边沿触发器。 按逻辑功能分:RSFF 、DFF 、JKFF 、TFF 等。 3.根据存储数据的原理不同分为:静态触发器和动态触发器。 第二节 SR 锁存器 一、电路结构与工作原理 1.电路结构和工作原理: 触发器的1状态:0,1='=Q Q 触发器的0状态:1,0='=Q Q ① 当R'D =0, S' D =1时,无论触发器原来处于什么状态,其次态一定为0,即Q =0,Q' =1,称触发器处于置0(复位)状态。 ② 当R'D =1,S'D =0时,无论触发器原来处于什么状态,其次态一定为1,即Q =1,Q'=0, S R 图形符号 Q Q ' D 'S D 'R 置位端 或置1 复位端 或 Q Q ' D 'S D 'R 电路结构

称触发器处于置1(置位)状态。 ③ 当R'D =1,S'D =1时,触发器状态不变,即Q *=Q ,称触发器处于保持(记忆)状态。 ④ 当R'D =0,S'D =0时,两个与非门输出均为1(高电平),此时破坏了触发器的互补输出关系,而且当R'D 、S'D 同时从0变化为1时,由于门的延迟时间不一致,使触发器的次态不确定,即Q *=?,这种情况是不允许的。因此规定输入信号R'D 、S'D 不能同时为0,它们应遵循R'D + S'D =1的约束条件。 从以上分析可见,基本RS 触发器具有置0、置1和保持的逻辑功能,通常称S'D 为置1端或置位(SET)端,R'D 称为置0或复位(RESET)端,因此该触发器又称为置位—复位(SetReset)触发器或R D S D 触发器,其逻辑符号如上图所示。因为它是以R'D 和S'D 为低电平时被清0和置1的,所以称R'D 、S'D 低电平有效,且在图中输入端加有小圆圈。 2.逻辑功能的描述 ①特性表 用与非门构成的基本RSFF 也可用右表描述。 只需将表中的R'D 和S'D 看作是该触发器输入信号 ②特性方程: ③状态转换图:(简称状态图) *='+=D D D D R S Q R S Q R = 0 R = ×S =0S =× R =0 R = 1S = 0 置1 置0 不允许 保持

时序逻辑电路练习题90281

一、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。 2.D 触发器的特性方程为___ ;J-K 触发器的特性方程为______。 3.T触发器的特性方程为。 4.仅具有“置0”、“置1”功能的触发器叫。 5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。 6. 若D 触发器的D 端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应 为。 7.JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息 需要个触发器。 9.时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为___ ___计数器和____ __计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过 程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。 14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则 经过5个CP脉冲以后计数器的状态为。 15.将某时钟频率为32MHz的CP变为4MHz的CP,需要个二进制计数器。 16. 在各种寄存器中,存放N 位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二 进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要 个移位脉冲。 18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳 变为1态,因此其稳态为态,暂稳态为态。 19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。 20.单稳态触发器在外加触发信号作用下能够由状态翻转到状 态。 21.集成单稳态触发器的暂稳维持时间取决于。 22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲 宽度,则占空比应为____ ___。 23.施密特触发器有____个阈值电压,分别称作___ _____ 和___ _____ 。 24.触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。 25.施密特触发器常用于波形的与。 二、选择题 1. R-S型触发器不具有( )功能。 A. 保持 B. 翻转 C. 置1 D. 置0 2. 触发器的空翻现象是指() A.一个时钟脉冲期间,触发器没有翻转 B.一个时钟脉冲期间,触发器只翻转一次 C.一个时钟脉冲期间,触发器发生多次翻转 D.每来2个时钟脉冲,触发器才翻转一次 3. 欲得到D触发器的功能,以下诸图中唯有图(A)是正确的。

实验三时序逻辑电路

实验三时序逻辑电路 学习目标: 1、掌握时序逻辑电路的一般设计过程 2、掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求 3、掌握时序逻辑电路的基本调试方法 4、熟练使用示波器和逻辑分析仪观察波形图 实验内容: 1、广告流水灯(第 9 周课内验收)用触发器、组合函数器件和门电路设计一个广告流水灯,该流水灯由 8 个 LED 组成,工作时始终为 1 暗 7 亮,且这一个暗灯循环右移。 (1) 写出设计过程,画出设计的逻辑电路图,按图搭接电路 (2) 将单脉冲加到系统时钟端,静态验证实验电路 (3) 将 TTL 连续脉冲信号加到系统时钟端,用示波器观察并记录时钟脉冲 CP、触发器的输出端 Q2、Q1、 Q0 和 8 个 LED 上的波形。 2、序列发生器(第 10 周课内实物验收计数器方案)分别用 MSI 计数器和移位寄存器设计一个具有自启动功能的 01011 序列信号发生器 (1) 写出设计过程,画出电路逻辑图 (2) 搭接电路,并用单脉冲静态验证实验结果 (3) 加入 TTL 连续脉冲,用示波器观察观察并记录时钟脉冲 CLK、序列输出端的波形。 3、4 位并行输入-串行输出曼切斯特编码电路(第10周课内验收,基础要求占70%,扩展要求占30%) 在电信与数据存储中, 曼彻斯特编码(Manchester coding),又称自同步码、相位编码(phase encoding,PE),它能够用信号的变化来保持发送设备和接收设备之间的同步,在以太网中,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码用电压的变化来分辨 0 和 1,从高电平到低电平的跳变代表 0,而从低电平到高电平的跳变代表 1。信号的保持不会超过一个比特位的时间间隔。即使是 0 或 1 的序列,信号也将在每个时间间隔的中间发生跳变。这种跳变将允许接收设备的时钟与发送设备的时钟保持一致,图 3.1 为曼切斯特编码的例子。 设计一个电路,它能自动加载 4 位并行数据,并将这4位数据逐个串行输出(高位在前),每个串行输出位都被编码成曼切斯特码,当 4 位数据全部传输完成后,重新加载新数据,继续传输,如图 3.2 所示。

习题 触发器和时序逻辑电路

第21章时序逻辑电路 S13101B 在逻辑电路中,任意时刻的输出状态仅取决于该时刻输入信号的状态,而与信号作用前电路的状态无关,这种电路称为。因此,在电路结构上一般由 组合而成。 解: 组合逻辑电路,门电路 S13102B 在任何时刻,输出状态仅仅决定于同一时刻各输入状态的组合,而与电路以前所处的状态无关的逻辑电路称为,而若逻辑电路的输出状态不仅与输出变量的状态有关,而且还与系统原先的状态有关,则称其为。 解: 组合逻辑电路,时序逻辑电路。 S13102I 在同步计数器中,各触发器的CP输入端应接时钟脉冲。 解: 同一 S13201B 有四个触发器的二进制计数器,它的计数状态有( )。 A. 8 B. 16 C. 256 D. 64 解: B S13104B 个逻辑电路,如果某一给定时刻t的输出不仅决定于该时刻t的输入,而且还决定于该时刻前电路所处的状态,则这样的电路称为电路。 解: 时序 S13105B 一个逻辑电路,如果某一给定时刻t的稳态输出仅决定于该时刻的输入,而与t前的状态无关,则这样的电路称为电路。 解: 组合 S13106B 按触发器状态更新方式划分,时序电路可分为和两大类。 解: 同步、异步 S13108B 计数器中有效状态的数目,称为计数器的。 解: 模或长度

S13106N 如图所示电路是 步 进制计数据。 解: 异,十六 S13107N 如图所示电路是 步,长度为 的 法计数器。 解: 异,8,加 S13108N 在如图所示电路中,若将第二级、第三级触发器的CP 改接在21Q Q 、上,则该电路是 步,长度为 的 法计数器。 解: 异,8,减 S13110N 如图所示电路是 步,长度为 的 法计数器。 解: 异,4,加 S13111N 如图所示电路是 步,长度为 的 法计数器。 解: 异,8,减

时序逻辑电路练习题及答案

《时序逻辑电路》练习题及答案 [6.1] 分析图P6-1时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。 图P6-1 [解] 驱动方程:311Q K J ==, 状态方程:n n n n n n n Q Q Q Q Q Q Q 13131311⊕=+=+; 122Q K J ==, n n n n n n n Q Q Q Q Q Q Q 12212112 ⊕=+=+; 33213Q K Q Q J ==,, n n n n Q Q Q Q 12313 =+; 输出方程:3Q Y = 由状态方程可得状态转换表,如表6-1所示;由状态转换表可得状态转换图,如图A6-1所示。电路可以自启动。 表6-1 n n n Q Q Q 123 Y Q Q Q n n n 111213+++ n n n Q Q Q 123 Y Q Q Q n n n 1112 13+++ 0 00 00 1 010 01 1 0010 0100 0110 1000 100 10 1 110 11 1 000 1 011 1 010 1 001 1 图A6-1 电路的逻辑功能:是一个五进制计数器,计数顺序是从0到4循环。 [6.2] 试分析图P6-2时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入逻辑变量。 图P6-2

[解] 驱动方程:21 Q A D =, 2 12Q Q A D = 状态方程:n n Q A Q 21 1 =+, )(122112n n n n n Q Q A Q Q A Q +==+ 输出方程:21Q Q A Y = 表6-2 由状态方程可得状态转换表,如表6-2所示;由状态转换表 可得状态转换图,如图A6-2所示。 电路的逻辑功能是:判断A 是否连续输入四个和四个以上“1” 信号,是则Y=1,否则Y=0。 图A6-2 [6.3] 试分析图P6-3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,检查电路能否自启动。 图P6-3 [解] 321Q Q J =,11=K ; 12Q J =,312Q Q K =; 23213Q K Q Q J ==, =+11n Q 32Q Q ·1Q ; 211 2 Q Q Q n =++231Q Q Q ; 3232113Q Q Q Q Q Q n +=+ Y = 32Q Q 电路的状态转换图如图A6-3所示,电路能够自启动。 图A6-3 [6.4] 分析图P6-4给出的时序电路,画出电路的状态转换图,检查电路能否自启动,说明电路实现的功能。A 为输入变量。 n n Q AQ 12 Y Q Q n n 1 112++ 000 00 1 010 01 1 100 11 1 110 10 1 010 100 110 00 1 11 1 100 010 000

实验五时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告一、实验目的 1掌握同步计数器设计方法与测试方法。 2 ?掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20 等。 三、实验原理和实验电路 1计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2. (1)四位二进制(十六进制)计数器74LS161 (74LS163) 74LS161是同步置数、异步清零的4位二进制加法计数器,其功能表见表。 74LS163是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LS161相同。二者的外部引脚图也相同,如图所示。 3.集成计数器的应用一一实现任意M进制计数器

Eft CR IK rh th Ih ET 7-I1A C1M /( 制扭环计数 同步清零法器 同步置数法 般情况任意M 进制计数器的结构分为 3类,第一类是由触发器构成的简单计数器。 第 二类是 由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。 第一类,可利用时序逻辑电路的设计方法步骤进行设计。 第二类,当计数器的模 M 较小时用 一片集成计数器即可以实现,当 M 较大时,可通过多片计数器级联实现。两种实现方法:反 馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4?实验电路: 十进制计数器 1

图74LS161 (74LS163)外部引脚图 四、实验内容及步骤 1 .集成计数器实验 (1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。 (2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二 极管的变化,记录得到电路计数过程和状态的转换规律。注意观察电路是否能自启,若不能自启,则将电路置位有效状态。接下来再用D触发器74LS7474构成一个能自启的六进制扭环 形计数器,重复上述操作。 2?分频实验 依据实验原理图用74LS163及74LS00组成一个具有方波输出的六分频电路。选择适当时钟输入方式及频率(CP接连续波脉冲),用双踪示波器观察并记录时钟与分频输出信号的时序波形。 五、实验结果及数据分析 1 .集成计数实验同步清零和同步置数的十进制加一计数器状态转换过程分别如下所示: 0000 : 0001 : 0010 : 0011 ; 0100 爲00*卄庇爲爲卄yh 六进制扭环形计数器的状态转换过程如下:

时序逻辑电路习题

触发器 一、单项选择题: (1)对于D触发器,欲使Q n+1=Q n,应使输入D=。 A、0 B、1 C、Q D、 (2)对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T=。 A、0 B、1 C、Q (4)请选择正确的RS触发器特性方程式。 A、 B、 C、 (约束条件为) D、 (5)请选择正确的T触发器特性方程式。 A、 B、 C、 D、 (6)试写出图所示各触发器输出的次态函数(Q )。 n+1 A、 B、 C、 D、 (7)下列触发器中没有约束条件的是。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 二、多项选择题: (1)描述触发器的逻辑功能的方法有。 A、状态转换真值表 B、特性方程 C、状态转换图 D、状态转换卡诺图 (2)欲使JK触发器按Q n+1=Q n工作,可使JK触发器的输入端。

A、J=K=0 B、J=Q,K= C、J=,K=Q D、J=Q,K=0 (3)欲使JK触发器按Q n+1=0工作,可使JK触发器的输入端。 A、J=K=1 B、J=0,K=0 C、J=1,K=0 D、J=0,K=1 (4)欲使JK触发器按Q n+1=1工作,可使JK触发器的输入端。 A、J=K=1 B、J=1,K=0 C、J=K=0 D、J=0,K=1 三、判断题: (1)D触发器的特性方程为Q n+1=D,与Q 无关,所以它没有记忆功能。() n (2)同步触发器存在空翻现象,而边沿触发器和主从触发器克服了空翻。 () (3)主从JK触发器、边沿JK触发器和同步JK触发器的逻辑功能完全相同。() (8)同步RS触发器在时钟CP=0时,触发器的状态不改变( )。 (9)D触发器的特性方程为Q n+1=D,与Q n无关,所以它没有记忆功能( )。 (10)对于边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次( )。 四、填空题: (1)触发器有()个稳态,存储8位二进制信息要 ()个触发器。 (2)在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的(),触发方式为()式或()式的触发器不会出现这种现象。 (3)按逻辑功能分,触发器有()、()、()、()、()五种。 (4)触发器有()个稳定状态,当=0,=1时,称为()状态。 时序逻辑电路 一、单项选择题: (2)某512位串行输入串行输出右移寄存器,已知时钟频率为4MHZ,数据从输入端到达输出端被延迟多长时间? A、128μs B、256μs C、512μs D、1024μs (3)4个触发器构成的8421BCD码计数器共有()个无效状态。 A、6 B、8 C、10 D、4 (4)四位二进制计数器模为 A、小于16 B、等于16 C、大于16 D、等于10 (5)利用异步预置数端构成N进制加法计数器,若预置数据为0,则应将()所对应的状态译码后驱动控制端。 A、N B、N-1 C、N+1 (7)采用集成中规模加法计数器74LS161构成的电路如图所示,选择正确答案。 A、十进制加法计数器 B、十二进制加法计数器

第5章 时序逻辑电路思考题与习题题解

思考题与习题题解 5-1填空题 (1)组合逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与电路原来所处的状态无关;时序逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与信号作用前电路原来所处的状态有关。 (2)构成一异步n2进制加法计数器需要 n 个触发器,一般将每个触发器接成计数或T’型触发器。计数脉冲输入端相连,高位触发器的 CP 端与邻低位Q端相连。 (3)一个4位移位寄存器,经过 4 个时钟脉冲CP后,4位串行输入数码全部存入寄存器;再经过 4 个时钟脉冲CP后可串行输出4位数码。 (4)要组成模15计数器,至少需要采用 4 个触发器。 5-2 判断题 (1)异步时序电路的各级触发器类型不同。(×)(2)把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)(3)具有 N 个独立的状态,计满 N 个计数脉冲后,状态能进入循环的时序电路,称之模N计数器。(√)(4)计数器的模是指构成计数器的触发器的个数。(×) 5-3 单项选择题 (1)下列电路中,不属于组合逻辑电路的是(D)。 A.编码器 B.译码器 C. 数据选择器 D. 计数器 (2)同步时序电路和异步时序电路比较,其差异在于后者( B )。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 (3)在下列逻辑电路中,不是组合逻辑电路的有( D )。 A.译码器 B.编码器 C.全加器 D.寄存器 (4)某移位寄存器的时钟脉冲频率为100KHz,欲将存放在该寄存器中的数左移8位,完成该操作需要(B)时间。 A.10μS B.80μS C.100μS D.800ms (5)用二进制异步计数器从0做加法,计到十进制数178,则最少需要( C )个触发器。 A.6 B.7 C.8 D.10 (6)某数字钟需要一个分频器将32768Hz的脉冲转换为1HZ的脉冲,欲构成此分频器至少需要(B)个触发器。 A.10 B.15 C.32 D.32768 (7)一位8421BCD码计数器至少需要(B)个触发器。 A.3 B.4 C.5 D.10

电子技术习题解答触发器和时序逻辑电路及其实际应用习题解答

第8章 触发器和时序逻辑电路及其应用习题解答 8.1 已知基本RS 触发器的两输入端D S 和D R 的波形如图8-33所示,试画出当基本RS 触发器初始状态分别为0和1两种情况下,输出端Q的波形图。 图8-33 习题8.1图 解:根据基本RS 触发器的真值表可得:初始状态为0和1两种情况下,Q的输出波形分别如下图所示: 习题8.1输出端Q的波形图 8.2 已知同步RS 触发器的初态为0,当S 、R 和CP 的波形如图8-34所示时,试画出输出端Q的波形图。 图8-34 题8.2图 解:根据同步RS 触发器的真值表可得:初始状态为0时,Q的输出波形分别如下图所示:

习题8.2输出端Q的波形图 8.3 已知主从JK触发器的输入端CP、J和K的波形如图8-35所示,试画出触发器初始状态分别为0时,输出端Q的波形图。 图8-35 习题8.3图 解:根据主从JK触发器的真值表可得:初始状态为0情况下,Q的输出波形分别如下图所示: 习题8.3输出端Q的波形图 8.4 已知各触发器和它的输入脉冲CP的波形如图8-36所示,当各触发器初始状态均为1时,试画出各触发器输出Q端和Q端的波形。

图8-36 习题8.4图 解:根据逻辑图及触发器的真值表或特性方程,且将驱动方程代入特性方程可得状态方程。即:(a )J =K =1;Qn + 1=n Q,上升沿触发(b)J =K =1;Qn + 1=n Q, 下降沿触发 (c)K =0,J =1;Qn + 1=J n Q+K Qn =1,上升沿触发 (d)K =1,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0·Qn =n Q,上升沿触发 (e)K =Qn ,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0=n Q,上升沿触发 (f)K =Qn ,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0=n Q,下降沿触发, 再根据边沿触发器的触发翻转时刻,可得当初始状态为1时,各个电路输出端Q的波形分别如图(a )、(b )、(c )、(d )、(e )和(f )所示,其中具有计数功能的是:(a )、(b )、(d )、(e )和(f )。各个电路输出端Q的波形与相应的输出端Q的波形相反。 习题8.4各个电路输出端Q的波形图

触发器和时序逻辑电路测试题

触发器和时序逻辑电路测试题 (十二章,十三章) 一、填空题 1、存放N为二进制数码需要_______个触发器。 2、一个四位二进制减法计数器状态为_______时,在输入一个计数脉冲,计数状 态为1111,然后向高位发_____信号。 3、时序逻辑电路在结构方面的特点是;由具有____逻辑门电路和具有______的 触发器两部分组成。 4、十进制计数器最少要用______个触发器。 5、用N个触发器可以构成存放_______位二进制代码寄存器。 6、在数字电路系统中,按逻辑功能和电路特点,各种数字集成电路可分位 ________逻辑电路和_________逻辑电路两大类。 7、8421BCD码位1001,它代表的十进制是_________。 8、8421BCD码的二一进制计数器当前计数状态是1000,再输入三个计数脉冲, 计数状态位________。 9、数码寄存器主要由______和______组成,起功能是用来暂存_______数码。 10、同步计数器各个触发器的状态转换,与________同步,具有______特点。 11、寄存器在断电后,锁存的数码_______。 12、4个触发器构成8421BCD码计数器,共有______个无效状态,即跳过二 进制数码_________到______6个状态。 二、判断题、 1、移位寄存器每输入一个脉冲时,电路中只有一个触发器翻转。() 2、移位寄存器即可并行输出也可串行输出。() 3、右移寄存器存放的数码将从低位到高位,依次串行输入。() 4、八位二进制能表示十进数的最大值是256. () 5、表示一位十进制数至少需要二位二进制。() 6、触发器实质上就是一种功能最简单的时序逻辑电路,是时序逻辑存储记忆的基础。() 7、数码寄存器存放的数码可以并行输入也可以串行输入。() 8、显示器属于时序逻辑电路类型。() 9、计数器、寄存器和加法器都属于时序逻辑电路。() 10、时序逻辑电路具有记忆功能。() 11、用4个触发器可构成4位二进制计数器。()

相关文档
最新文档