基于FPGA芯片智能电梯控制系统设计

基于FPGA芯片智能电梯控制系统设计
基于FPGA芯片智能电梯控制系统设计

基于FPGA芯片的电梯自动控制系统

学号:2011051339姓名:聂丽霞

摘要:

本论文是基于FPGA的电梯控制器的研究,是电梯控制的核心技术,通过电梯控制器可以对电梯运行模式和状态进行全面的控制,这也是此次论文研究的重要性;由于FPGA技术近些年来蓬勃发展,而且在很多领域已经应用的十分成熟,所以用FPGA可以实现对电梯精确、稳定、实时性控制,同时用于FPGA开发的芯片都是一些微处理器芯片,便于集成和智能化设计。

关键词: FPGA VHDL 电梯状态机

一、电梯控制器的需求分析

目前,电梯的设计、工艺不断提高,电梯的品种也逐渐增多,电梯的材质由黑白到彩色,样式由直式到斜式,在操纵控制方面更是步步出新:手柄开关操纵、按钮控制、信号控制、集选控制、人机对话等;多台电梯还出现了并联控制、智能群控;双层轿箱电梯展示出节省井道空间,提升运输能力的优势,变速式自动人行道扶梯大大节省了行人的时间;不同外形的电梯则使身处其中的乘客的视线不再封闭。

电梯的结构分为:四大空间,八大系统;四大空间:机房部分、井道及地坑部分、轿厢部分、层站部分;八大系统:曳引系统、导向系统、轿厢、门系统、重量平衡系统、电力拖动系统、电气控制系统、安全保护系统;电梯的功能结构决定电梯的八大应用技术:

⑴全数字识别乘客技术(所有乘客进入电梯前进行识别,其中包括眼球识别、

指纹识别)

⑵数字智能型安全控制技术(通过乘客识别系统或者IC卡以及数码监控设

备,拒绝外来人员进入)

⑶第四代无机房电梯技术(主机必须与导轨和轿厢分离,完全没有共振共鸣,

速度可以达到2.0M/S以上,最高可以使用在30层以上。)

⑷双向安全保护技术(双向安全钳、双向限速器,在欧洲必须使用,中国正

在被普遍使用)

⑸快速安装技术(改变过去的电梯安装方法,能够快速组装)

⑹节能技术(采用节能技术,使电梯更节约能源)

⑺数字监控技术(完全采用计算机进行电梯监控与控制)

⑻无线远程控制及报警装置(当电梯产生故障时,电梯可以通过无线装置给

手机发送故障信息,并通过手机发送信号对电梯进行简单控制。)

本论文主要是控制电梯的运行模式和状态,对信号进行处理的模块,重点在对响应的信号进行处理,并将处理结果反馈给对应功能的控制端口,实现对电梯运行的全面控制。

电梯方向优先控制方式控制系统方框图

二、论文研究的主要内容

本论文的电梯控制器所有的程序可以集成在一个FPGA开发芯片上面,不用在用其他功能的分立逻辑元件,达到集成度高、响应快、功耗低的特点。

本论文是基于FPGA的电梯控制器的研究,是电梯控制的核心技术,通过电梯控制器可以对电梯运行模式和状态进行全面的控制,这也是次论文的研究重要性;电梯的层数为6层,本次论文采用模块化设计,主要分为四大模块:时钟分频模块、按键处理模块、电梯运行控制模块、数码管显示模块。

由于FPGA技术近些年来蓬勃发展,而且在很多领域已经应用的十分成熟,用FPGA可以实现对电梯精确、实时性控制,而且用于FPGA开发的芯片都是一些微处理器芯片,便于集成和智能化设计,而且大大缩短了开发周期。

三、FPGA概述

FPGA(Field Programmable Gate Arry)即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点;FPGA采用了逻辑单元阵列LCA (Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输入输出模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。

四、FPGA硬件体系结构

FPGA采用逻辑单元阵列(LCA,Logic Cell Array)新概念,内部包括可配置逻辑模块(CLB,Configurable Logic Block)、输入输出模块(IOB,Input Output Block)和内部互连资源(IR,Interconnect Resources)三部分组成。

⑴可配置逻辑块(CLB,Configurable Logic Block)是FPGA的主要组成

部分,主要是由逻辑函数发生器、触发器、数据选择器等电路组成。

⑵输入输出模块(IOB,Input Output Block)提供了器件引脚和内部逻辑

阵列之间的连接,主要是由输入触发器、输入缓冲器和输出触发、锁存

器、输出缓冲器组成。

⑶可编程互连资源(IR,Interconnect Resources)可以将FPGA内部的CLB

和CLB之间、CLB和IOB之间连接起来,构成各种具有复杂功能的系

统,IR主要由许多金属线段构成,这些金属线段带有可编程开关,通过

自动布线实现各种电路的连接。

五、电梯控制器的工作原理

本次论文是实现6层电梯的运行控制,当在某一楼层按下上升或者下降请求按钮时,控制器响应该请求并控制电梯前往该楼层,当到达该楼层时,电梯开门,当进入电梯后,电梯关门,此时按下要到达的楼层按钮,控制器响应该请求并控制电梯前往该楼层,当到达前往楼层后,电梯开门,走出电梯,然后关门......,就这样往复的实现电梯的控制功能。

在电梯运行时遵循如下规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼信号,由下至上依次执行;直到最后一个上楼请求执行完毕,如有更

高层有下楼请求时,则直接升到有下降请求的最高楼,然后进入下降模式,电梯处于下降模式时,则与上升相反。

电梯的输入信号主要包括外部输入信号和内部输入信号;对于电梯外部输入信号主要有:每一层电梯门外都有上升请求和下降请求按钮,其中一楼电梯门外只有上升请求按钮,6楼电梯门外只有下降请求按钮。对于电梯内部输入信号主要有:6个前往楼层的按钮、提前关门按钮、延时关门按钮、电梯异常按钮。

电梯输出信号也主要包括外部输出信号和内部输出信号;对于电梯外部输出信号包括上升请求按钮和下降按钮指示信号、电梯当前所在楼层指示信号、电梯运行方向指示信号。电梯内部输出信号包括6个前往楼层按钮指示信号、超重等警告指示信号、电梯当前所在楼层指示信号、电梯运行方面指示信号。

六、电梯控制系统的设计内容

本次论文是基于FPGA的电梯控制器的研究,是电梯控制的核心技术,通过电梯控制器可以对电梯运行模式和状态进行全面的控制,这也是次论文的研究重要性;电梯的层数为6层,本次论文采用模块化设计方法,主要分为四大模块:时钟分频模块、按键处理模块、电梯运行控制模块、数码管显示模块。

本论文是基于FPGA的电梯控制器的研究,是电梯控制的核心技术,通过电梯控制器可以对电梯运行模式和状态进行全面的控制,这也是次论文的研究重要性;电梯的层数为6层,本次论文采用模块化设计方法,主要分为四大模块:时钟分频模块、按键处理模块、电梯运行控制模块、数码管显示模块。其方框原理图如下:

电梯控制器原理图

七、时钟分频模块

时钟分频模块功能是将系统频率分频为两种不同的频率,一个是2分频时钟供电梯运行控制模块使用,另一个8分频时钟供其他模块使用,其源代码如下:时钟分频模块:clkdiv.vhd

Library Ieee; Use Ieee.Std_Logic_1164.All;

Use Ieee.Std_Logic_unsigned.All; // 定义所用的标准库

Port( clk: In Std_Logic; //系统时钟频率

clk_2: Out Std_Logic; //2分频脉冲(作为电梯控制时钟)

clk_8: Out Std_Logic //8分频脉冲(作为按键处理时钟)

); //定义输入输出变量

End clkdiv;

Signal cnt: Std_Logic_Vector(7 Downto 0); //定义一个8位的变量

Begin Process(clk) //时钟分频进程

Begin If clk'event and clk='1' Then //时钟脉冲上升沿有效

cnt<=cnt+1;

clk_2<=cnt(1); //变量cnt的第1位输出2分频

clk_8<=cnt(4); //变量cnt的第3位输出8分频End Process;

End one;

源程序编写完成后,进行调试、运行并生成模块文件,确认没有错误之后进行功能仿真、时序分析。其模块原理图如下:

时钟分频模块原理图

八、电梯运行控制模块

电梯运行控制模块是此次设计的核心,电梯运行的模式和状的态控制效果完全取决于电梯控制模块,它的功能是在系统2分频时钟下,根据按键处理模块输出的信号,控制电梯上升与下降运行模式和状态,当电梯关门时输出相应的上升与下降清除信号,同时输出电梯当前所在楼层的数码管输出信号与电梯运行方向,本次是设计的6层电梯控制系统,在源程序中具体要体现一下功能:

⑴每层电梯(除1楼和6楼外,1楼只有上升请求按钮,6楼只有下降请

求按钮)入口出设置有上升和下降请求按钮,电梯内部设有要到达楼层

的请求按钮1-6楼。

⑵设有电梯所处位置数码管显示部分,电梯运行模式的LED显示部分。

⑶电梯能记忆电梯外部所有信号请求,并按照电梯运行规则进行顺序响

应,每个电梯请求信号保留到电梯响应结束。

⑷电梯运行规则:当电梯上升时候,只响应比电梯所在楼层高的上楼信号,

由下到上依次执行,直到最后一个上升请求信号执行完毕,当电梯下降

时候,只响应比电梯所在楼层低的下楼信号,由上到下依次执行,直到

最后一下下降请求信号执行完毕。

电梯运行控制模块的源程序如下:

电梯运行控制模块:elevator_run.vhd

Library Ieee;

Use Ieee.Std_Logic_1164.All;

Use Ieee.Std_Logic_Arith.All;

Use Ieee.Std_Logic_unsigned.All; // 定义所用的标准库

Entity elevator_run Is

Port( clk: In Std_Logic; //电梯时钟

rst: In Std_Logic; //异步置位按键

alarm: in Std_Logic;

up_light: in Std_Logic_Vector(6 Downto 1); //电梯外部上升请求指示灯

down_light: in Std_Logic_Vector(6 Downto 1);//电梯外部下降请求指示

inside_light: in Std_Logic_Vector(6 Downto 1); //电梯内部楼层请求指示灯

position: out integer range 1 to 6; //电梯位置变量

door_light: Out Std_Logic; //电梯门开关指示灯

clear_up: out Std_Logic; //用于清除上升请求指示灯信号

clear_down: out Std_Logic //用于清除下降请求指示灯信号

delay: In Std_Logic; //延时关门变量

advance: In Std_Logic; //提前关门变量

updown_light: Buffer Std_Logic_Vector(7 Downto 0); //电梯升降显示); //定义输入输出变量

End elevator_run;

Architecture six_floor of elevator_run is type lift_state is

(stop_1,door_open,door_close,door_wait1,door_wait2,door_wait3,door_wait4, door_wait5,door_wait6,up,down,stop);

signal my_lift:lift_state;

signal pos:integer range 6 downto 0;

signal updown_flag:Std_Logic;

signal posreg:integer range 1 to 6;

signal one:Std_Logic_Vector(6 Downto 1);

Begin //控制电梯状态进程

U1:Process(rst,clk)

Begin If (rst='0' or alarm='0') Then

my_lift<=stop_1;

clear_up<='1';

clear_down<='1';

Else If(clk'event and clk='1') Then case my_lift is

when stop_1 => //初试状态在第一层

door_lght<='0';

position<='1';

my_lift<=door_wait1;

clear_up<='0';

clear_down<='0';

updown_light<="00000010";

//开门时间为door_wait1到door_wait6,5个时钟周期

//如果提前信号advance有效,则电梯直接跳到door_close状态//如果提前信号delay有效,则电梯重新回到door_wait1状态when door_wait1 =>

If advance='1' Then

my_lift<=door_close;

Elsif delay='1' Then

my_lift<=door_wait1;

Else my_lift<=door_wait2;

End If;

clear_up<='0';

clear_down<='0';

when door_wait2 =>

If advance='1' Then

my_lift<=door_close;

Elsif delay='1' Then

my_lift<=door_wait1;

Else my_lift<=door_wait3;

End If;

clear_up<='0';

clear_down<='0';

when door_wait3 =>

If advance='1' Then

my_lift<=door_close;

Elsif delay='1' Then

my_lift<=door_wait1;

Else my_lift<=door_wait4;

End If;

clear_up<='0';

clear_down<='0';

when door_wait4 =>

If advance='1' Then

my_lift<=door_close;

Elsif delay='1' Then

my_lift<=door_wait1;

Else my_lift<=door_wait5;

End If;

clear_up<='0';

clear_down<='0';

when door_wait5 =>

If advance='1' Then

my_lift<=door_close;

Elsif delay='1' Then

my_lift<=door_wait1;

Else my_lift<=door_wait6;

End If;

clear_up<='0';

clear_down<='0';

when door_wait6 =>

my_lift<=door_close;

clear_up<='0';

clear_down<='0';

when door_close =>

door_light<='0';

clear_up<='0';

clear_down<='0';

If posreg=6 Then //如果电梯在第6层,且电梯外部与内部没有任何按键请求,电梯进入关门状态

//电梯运行状态指示停止

If(inside_light="000000" and up_light="000000" and down_light="000000") Then my_lift<=door_close;

//数码管显示横杠,表示电梯停止,没有向上和向下运行

updown_light<="00000010";

//如果电梯在第6层,且电梯外部与内部有任何按键请求时,电梯转到下降状态

//电梯运行状态指示下降

Elsif(inside_light>"000000" or up_light>"000000" or down_light>"000000") Then my_lift<=down;

//数码管显示小写d字,表示电梯下降运行

updown_light<="01111010";

updown_flag<='1';

End If; //如果电梯在第1层

If posreg=1 Then //如果电梯在第1层,且电梯外部与内部没有任何按键请求,电梯进入关门状态

//电梯运行状态指示停止

If(inside_light="000000" and up_light="000000" and down_light="000000") Then my_lift<=door_close;

//数码管显示横杠,表示电梯停止,没有向上和向下运行

updown_light<="00000010";

//如果电梯在第1层,且电梯外部与内部有任何按键请求时,电梯转到上升状态

//电梯运行状态指示上升

Elsif(inside_light>"000000" or up_light>"000000" or down_light>"000000") Then my_lift<=up;

//数码管显示小写u字,表示电梯上升运行

updown_light<="01111100";

updown_flag<='0';

End If;

//如果电梯在其他楼层时候

Else

//如果电梯在其他楼层,且电梯外部与内部没有任何按键请求,电梯进入关门状态

//电梯运行状态指示停止

If(inside_light="000000" and up_light="000000" and down_light="000000")

Then my_lift<=door_close;

//数码管显示横杠,表示电梯停止,没有向上和向下运行

updown_light<="00000010";

//如果电梯外部上升请求或下降请求所在的楼层比电梯当前楼层高,或电梯内部前往的楼层比电梯当前楼层高,则电梯转到上升状态

//电梯运行状态指示上升

Elsif inside_light>=(one+one)or up_light>=(one+one)or down_light>(one+one)Then my_lift<=up;

//数码管显示小写u字,表示电梯下降运行

updown_light<="01111100";

updown_flag<='0';

//如果电梯外部上升请求或下降请求所在的楼层比电梯当前楼层低,或电梯内部前往的楼层比电梯当前楼层低,则电梯转到下降状态

//电梯运行状态指示下降

Elsif(inside_light+inside_light)<=one or (up_light+up_light)<=one or (down_light+down_light)<=one

Then my_lift<=down;

//数码管显示小写u字,表示电梯下降运行

updown_light<="01111010";

updown_flag<='1';

Else

my_lift<=door_close;

End If;

End If;

when up => //当电梯在上升状态时

clear_up<='0';

clear_down<='0';

//当电梯所在楼层低于6层,当前楼层是电梯前往楼层之一,或者是当前楼层有人请求上升,或者电梯内部没有请求信号但是当前楼层有人请求下降,电梯则转向到停止状态

If posreg<6 and (inside_light(posreg)='1' or up_light(posreg)='1' or (inside_light="000000" and down_light(posreg)='1'))

Then my_lift<=stop;

//如果电梯内部没有请求信号,电梯外部没有上升请求信号,且当前楼层有电梯外部下降请求信号,则电梯运行时转到下降状态

If (inside_light="000000" and up_light="000000" and down_light(posreg)='1') Then updown_flag<='1';

End If;

//当电梯所在楼层为6层,有人前往第6层,或者第6层有人下降请求时,电梯则转到停止状态

Elsif posreg=6 and (inside_light(posreg)='1' or down_light(posreg)='1')

Then my_lift<=stop;

Elsif posreg=6 and (down_light>"000000" or up_light>"000000")

Then my_lift<=stop;

//其他情况,电梯继续上升运行,电梯所在楼层加1

Else

my_lift<=up;

updown_light<="01111100";

updown_flag<='0';

If posreg<6 Then

Posreg<=(Posreg+1);

End If;

End If;

when down => //当电梯在下降状态时

clear_up<='0';

clear_down<='0';

//当电梯所在楼层高于1层时,当前楼层是电梯前往楼层之上,或者是当前楼层有人请求下降,或者电梯内部没有前往请求信号但是楼层有人请求上升,电梯则转向到停止状态

If posreg<1 and (inside_light(posreg)='1' or down_light(posreg)='1' or

(inside_light="000000" and up_light(posreg)='1'))

Then my_lift<=stop;

//如果电梯内部没有前往信号,电梯外部也没有下降请求信号,且当前楼层有电梯外部上升请求信号,则电梯运行时转到上升状态

If (inside_light="000000" and up_light(posreg)='1' and

down_light="000000")

Then updown_flag<='0';

End If;

//其他情况,电梯继续下降运行,电梯所在楼层减1

Else

my_lift<=down;

updown_light<="01111010";

updown_flag<='1';

If posreg>1 Then

Posreg<=(Posreg-1);

End If;

End If;

//当电梯为停止状态,则转为电梯开门状态

when stop =>

mylift<=door_open;

clear_up<=not updown_flag;

clear_down<=updown_flag;、

//当电梯为开门状态时,开门灯亮,转到关门等待状态

when door_open =>

door_light<='1';

clear_up<='0';

clear_down<='0';

my_lift<=door_wait1;

//当电梯的其他状态则转到电梯关门等待状态下

when others =>

my_lift<=door_wait1;

End case;

End If;

End If;

position<=posreg;

End Process U1;

//楼层信号转化进程,将电梯楼层信号转化成逻辑变量one,提供进程给U1使用U2:Process(clk,posreg)

Begin

If(clk'event and clk='1') Then

case posreg is

when 1 => one<="000001"; //一位热编码

when 2 => one<="000010";

when 3 => one<="000100";

when 4 => one<="001000";

when 5 => one<="010000";

when 6 => one<="100000";

End case;

End If;

End Process U2;

End six_floor;

电梯控制运行模块源程序编写完成后,进行编译、运行并生成模块文件,确认没有错误之后进行波形仿真、时序分析。其模块原理图如下:

电梯运行控制模块原理图

数码管显示模块源程序编写完成后,进行编译、运行并生成模块文件,确认没有错误之后进行波形仿真、时序分析。其模块原理图如下:

电梯控制器的所有模块都已经设计完成,然后将这四个模块进行综合,将各个模块的相关信号进行连接,并进行功能仿真和时序分析。

九、电梯超重控制可行性分析

经过我细心观察和亲自乘坐电梯的感受,现在很多电梯对于超重的处理存在一定的不完善,分析主要原因是在于对达到超重前的重量控制没有一定的预

留,现在的电梯在运行过程中只要是没有达到超重值,那么在其运行过程中,无论是有上升还是下降请求信号,总会对其进行响应并开门,即使此时不能上一个人,但是由于没有达到超重值,门还是会开的;这样其实更浪费大家的时间,如果说,我们在这个过程中通过对这个超重范围进行一定的控制,就不会造成这样的情况,也正因为如此,本次论文提出对超重控制的改进分析。具体实现的原理方框图如下:

电梯超重控制原理方框图

具体的实现方法是对超重的范围预留一个平均体重的重量,当电梯的载重在这个范围内时,电梯在运行过程中不再开门,直到有人出电梯,然后再按照电梯的运行规则运行。此次设计提出的方案是通过传感器与FPGA芯片进行处理,将压电式传感器对压力这种非电量信号进行采集,然后经过信号放大、A/D转换,最终将生成的数字信号送入FPGA芯片进行处理,然后控制电梯运行;实现超重优先级,控制超重在一定范围内,不做开门响应,直到有人出电梯,电梯载重在正常范围内。

十、结论

本论文是基于FPGA的6层电梯控制器的研究,设计过程中采用模块化设计方法,主要分为四大模块:时钟分频模块、按键处理模块、电梯运行控制模块、数码管显示模块,其中,电梯运行控制模块是整个设计的核心,主要负责对电梯运行模式和状态的控制,采用VHDL硬件描述语言编程,在控制电梯的状态方面,采用状态机的方法对电梯的状态进行控制,使得电梯运行过程变得简单、快捷、稳定,此次设计过程中提出使用传感器对电梯超重情况进行控制,更让电梯

变得智能化、人性化。

此次设计让我对FPGA有的更深刻的认识,对VHDL/Verilog HDL硬件描述语言进行了深入的学习,为以后对这方面的研究做了铺垫,也为以后的工作和学习提供了理论基础。

电梯的电气控制系统设计与实现

编订:__________________ 审核:__________________ 单位:__________________ 电梯的电气控制系统设计 与实现 Deploy The Objectives, Requirements And Methods To Make The Personnel In The Organization Operate According To The Established Standards And Reach The Expected Level. Word格式 / 完整 / 可编辑

文件编号:KG-AO-7382-100 电梯的电气控制系统设计与实现 使用备注:本文档可用在日常工作场景,通过对目的、要求、方式、方法、进度等进行具体的部署,从而使得组织内人员按照既定标准、规范的要求进行操作,使日常工作或活动达到预期的水平。下载后就可自由编辑。 电梯是当前高层建筑不可缺少的垂直方向的交通运输工具,随着计算机及微电子技术的快速发展,电梯控制技术发生了巨大变化,其中PLC控制系统代替传统的继电器控制以及电梯采用了对电动机实现线性调速的调压调频技术,能达到电梯安全平稳运行。 随着人们生活水平的提高及高层建筑的普及,电梯是当前高层建筑不可缺少的垂直方向的交通工具,电梯是集机电一体的复杂系统,涉及机械传动、电气控制和土建等工程领域多种领域专业与一体的综合技术。随着社会的发展及对安全的重视,在设计电梯的时候,应具有高度的安全性。这样就对建筑内的电梯的调速精度、调速范围等静态和动态特性提出了更高的要求。当前由可编程序控制器(PLC)和微机组成的电梯运行逻辑控制系统具有可靠性高、维护方便、开发

电梯的电气控制系统设计与实现参考文本

电梯的电气控制系统设计与实现参考文本 In The Actual Work Production Management, In Order To Ensure The Smooth Progress Of The Process, And Consider The Relationship Between Each Link, The Specific Requirements Of Each Link To Achieve Risk Control And Planning 某某管理中心 XX年XX月

电梯的电气控制系统设计与实现参考文 本 使用指引:此安全管理资料应用在实际工作生产管理中为了保障过程顺利推进,同时考虑各个环节之间的关系,每个环节实现的具体要求而进行的风险控制与规划,并将危害降低到最小,文档经过下载可进行自定义修改,请根据实际需求进行调整与使用。 电梯是当前高层建筑不可缺少的垂直方向的交通运输 工具,随着计算机及微电子技术的快速发展,电梯控制技 术发生了巨大变化,其中PLC控制系统代替传统的继电器 控制以及电梯采用了对电动机实现线性调速的调压调频技 术,能达到电梯安全平稳运行。 随着人们生活水平的提高及高层建筑的普及,电梯是 当前高层建筑不可缺少的垂直方向的交通工具,电梯是集 机电一体的复杂系统,涉及机械传动、电气控制和土建等 工程领域多种领域专业与一体的综合技术。随着社会的发 展及对安全的重视,在设计电梯的时候,应具有高度的安 全性。这样就对建筑内的电梯的调速精度、调速范围等静

态和动态特性提出了更高的要求。当前由可编程序控制器(PLC)和微机组成的电梯运行逻辑控制系统具有可靠性高、维护方便、开发周期短,对机械零部件和电器元件都采取了很大的安全系数和保险系数。电梯的控制是相对比较复杂的,PLC可编程控制器把机械与电气部件有机地结合在一个设备内,把仪表、电子和计算机的功能综合在一起,使得电梯过程控制更平稳、可靠,抗干扰性能增强,电梯运行更加可靠,并具有很大的灵活性,可以完成更为复杂的控制任务,己成为电梯控制的发展方向。 电梯自动控制系统特点 从电梯结构分析电梯由机械系统和控制系统组成。电梯机械部分主要由轿厢、牵引系统、导轨门系统、平衡系统、导向系统以及机械安全保护装置等部分组成;而电气控制部分由电力控制系统、运行逻辑功能控制系统组成。轿箱是用来运送乘客或货物的电梯组件,由轿箱架和轿箱

电梯控制智能化系统设计方案

精选范文、公文、论文、和其他应用文档,希望能帮助到你们! 电梯控制智能化系统设计方案 目录 第一章概述 (2) 第二章系统需求分析 (4) 第三章系统设计目标及原则 (5) 3.1系统设计目标 (5) 3.2系统设计原则 (6) 第四章系统解决方案及技术描述 (6) 4.1系统概述 (6) 4.2系统基本功能及特点 (7) 4.3系统结构 (8) 第五章设备介绍 (10) 第六章工作原理 (12) 第七章系统设备清单及价格 (14) 第八章工程实施 (15) 第九章售后服务 (17)

第十章质量保证 (19) 第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出 进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以

通过采用对电梯的合理控制实现这种功能需求。VD-TK800是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用VD-TK800对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。 VD-TK800基于控制软件平台使用的一个控制模块,它与ACS2002门禁控制系统相互兼容,组成一个强大的保安系统网络,也可以独立使用来控制电梯。VD-TK800可在线运行,可以单机独立运行,即使关闭PC机,VD-TK800也可以正常使用,确保其稳定可靠的控制功能,从而提高楼宇管理层次。 VD-TK800/E智能电梯控制器

电梯的电气控制系统设计与实现(通用版)

Safety is the goal, prevention is the means, and achieving or realizing the goal of safety is the basic connotation of safety prevention. (安全管理) 单位:___________________ 姓名:___________________ 日期:___________________ 电梯的电气控制系统设计与实现 (通用版)

电梯的电气控制系统设计与实现(通用版)导语:做好准备和保护,以应付攻击或者避免受害,从而使被保护对象处于没有危险、不受侵害、不出现事故的安全状态。显而易见,安全是目的,防范是手段,通过防范的手段达到或实现安全的目的,就是安全防范的基本内涵。 电梯是当前高层建筑不可缺少的垂直方向的交通运输工具,随着计算机及微电子技术的快速发展,电梯控制技术发生了巨大变化,其中PLC控制系统代替传统的继电器控制以及电梯采用了对电动机实现线性调速的调压调频技术,能达到电梯安全平稳运行。 随着人们生活水平的提高及高层建筑的普及,电梯是当前高层建筑不可缺少的垂直方向的交通工具,电梯是集机电一体的复杂系统,涉及机械传动、电气控制和土建等工程领域多种领域专业与一体的综合技术。随着社会的发展及对安全的重视,在设计电梯的时候,应具有高度的安全性。这样就对建筑内的电梯的调速精度、调速范围等静态和动态特性提出了更高的要求。当前由可编程序控制器(PLC)和微机组成的电梯运行逻辑控制系统具有可靠性高、维护方便、开发周期短,对机械零部件和电器元件都采取了很大的安全系数和保险系数。电梯的控制是相对比较复杂的,PLC可编程控制器把机械与电气部件有机地结合在一个设备内,把仪表、电子和计算机的功能综合在一起,使得

升降电梯控制系统设计

垂直升降电梯控制系统的分析 1引言 随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。日前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别。PLC可靠性高,程序设计方便灵活。本设计在用尸LC控制变频调速实现电流、速度双闭环的基础上,在不增加硬件设备的条件下,实现电流、速度、位移三环控制。 2硬件电路 2.1硬件结构 PLC为西门子公司S7-200系列CPU221,PLC接受来自操纵盘和每层呼梯盒的召唤信号、轿厢和门系统的功能信号以及井道和变频器的状态信号,经程序判断与运算实现电梯的集选控制。PLC在输出显示和监控信号的同时,向变频器发出运行方向、启动、加/减速运行和制动电梯等信号C 2. 2电流、速度双闭环电路 采用YASAKWA公司的VS一61665 CIM- RG5A 4022变频器。变频器本身设有电流检测装置,由此构成电流闭环:通过和电机同轴联结的旋转编码器,产生a, b两相脉冲进入变频器,在确认方向的同时,利川脉冲计数构成速度闭环。 3位移和运行曲线控制 电梯作为一种载人工具,在位势负载状态下,除要求安全可靠外,还要求运行平稳,乘坐舒适,停靠准确,理想的运行曲线3. 1位移控制采用变频调速双环控制可基本满足要求,但和国外高性能电梯相比还需进一步改进。本设计一正是基于这一想法,利用现有旋转编码器构成速度环的同时,通过变频器的尸G卡输出与电机速度及电梯位移成比例的脉冲数,将其引入尸LC的高速计数输入端口0000通过累计脉冲数,经式(1)计算出脉冲当量,由此确定电梯位置。 3.2速度控制 本方法是利用PLC扩展功能模块D/A模块实现的,事先将数字化的理想速度曲线存入尸LC寄存器,程序运行时,通过查表方。一忆写入D/A,由D/A转换成模拟量后将理想曲线输出。 3. 2. 1加速给定曲线的产生 6位。/A输出0-5V/0-V 1 OV,对应数字值人16进制数00--FF,共255级。东洋电梯加速实践在2. 5-3秒之问。按保守值计算,电梯加速过程中每次查表的时间间隔不亘超过1 Oms o 由于电梯逻辑控制部分程序最大,而尸LC运行采用周期扫描机制,因而采用通常的查表方法,每次查表的指令时间间隔过长,不能满足给定曲线的精度要求。在PLC运行过程中,其CPU与各设备之间的信息交换、用户程序的执行、信号采集、控制量的输出等操作都是按照固定的顺序以循环扫描的方式进行的,每个循环都要对所有功能进行查询、判断和操作。在一个周期内,CPU对整个用户程序只执行一遍。这种机制有其方便的一面,但实时性差。过长的扫描时间,直接影响系统对信号响应的效果,在保证控制功能的前提下,最大限度地缩短CPU的周期扫描时间是一个很复杂的问题。一般只能从用户程序执行时间最短采取方法。电梯逻辑控制部分的程序扫描时间已超过10ms,尽管采取了1些减少程序扫描时间的办法,但仍无法将扫描时间降到1 Oms以下。同时,制动段曲线采用按距离原则,每段距离到的响应时间也不宜超过

基于单片机的电梯控制系统毕业论文完整

. .. . 分类号 XX大学 毕业设计(论文) 题目基于单片机的电梯控制系统 并列英文题目Elevator control system based on single chip 系部:电子信息系专业:应用电子技术 姓名:XXX 班级:电子0801 指导教师:XXX 职称:教师 论文报告提交日期:2011年5月25日

XX大学

模拟电梯控制系统设计 摘要单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中51单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中的永久垂直交通工具。本论文选择AT89S51为核心控制元件,设计了一个八层电梯系统,使用单片机汇编语言进行编程,实现运送乘客到任意楼层,并且显示电梯的楼层和上下行。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词单片机电梯系统控制

Simulated elevator control system design Abstract Microcontroller that microcomputer (Single-Chip Microcomputer) gathering CPU, RAM, ROM, the timing, number and variety of interface integrated microcontrollers. 51 various SCM SCM is the most typical and most representative of a widely used in various fields. Elevator is the application of the principle set machinery, electrical control technology, microprocessor technology, systems engineering and other technical disciplines and branches of the integration of mechanical and electrical equipment, which is building a permanent vertical transport. This paper choice AT89S51 control of the core components, designed a new 8 storey lift systems, using single-chip assembly language programming, transporting passengers arrived a floor, it also shows the elevator floor and downlink. SCM control elevators low cost, versatility, flexibility and ease of large complex control advantages Keyboards Single-Chip Microcomputer Elevator system control

电梯控制系统设计方案

上海四景计算机信息科技有限公司 电 梯 控 制 系 统 方 案

上海四景计算机信息科技有限公司 舒特电梯智能控制系统 ---楼宇自动化的首选 前言: 系统概述: 随着高科技的蓬勃发展,智能化管理已经走进了人们的生活。物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。所有的电梯楼层,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。针对这些需求我们开发了电梯楼层控制器,并分为手动型和自动型两款,客户可以根据需求选择适合自己的产品。 通过智能卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级,电梯系统智能化控制已逐渐成为智能化建筑楼宇中必不可少弱电系统之一 二、选择使用电梯控制系统带来的好处 (一)使用梯控制系统可有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现各种功能需求。 (二)使用梯控制系统能够提高楼盘的整体智能化程度,提升楼盘亮点和档次,充分体现智能化楼宇和智能化小区意义,是楼盘更具附加值。 (三)使用梯控制系统能够使公共电梯轻松晋级为私有电梯,能够让业主充分体现私有电梯的尊贵和方便性。 (四)使用梯控制系统能够为用户提供更方便和更公平使用。 (五)协助收取物业费 管理人员可对系统的用户卡设定使用权限,设定失效日期,便于控制管理费用的收取。 如用户使用到达使用的失效时间,则不能开梯,提醒并促使用户到达管理处及时缴费,对于不按时交纳物业费的业主,则不能使用电梯,有效的将管理费用与用户使用权限挂

最新四层电梯的自动控制系统及模拟系统设计

四层电梯的自动控制系统及模拟系统设计

陕西国防工业职业技术学院 SHAANXI INSTITUTE OF TECHNOLOGY 毕业设计说明书 题目四层电梯的自动控制系统及模拟系统

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段

保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

自动化毕业论文(四层电梯的PLC控制系统设计与实现)概要

摘要 随着科学技术的发展,近年来我国的电梯生产技术得到了迅速发展,一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统(早期安装的电梯多位继电器控制系统)、PLC控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而PLC控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 关键词PLC;电梯;控制系统;设计 I

Abstract Along with science's and technology's development, the recent years, our country's elevator production technology obtained the rapidly expand. Some elevator factory unceasingly is also improving the design, the revision craft. The renewal production renewal's elevator, the elevator mainly divides into the mechanical system and the control system two major parts, along with the automatic control theory and microelectronic technology's development, elevator's dragging way and the control method has had the very big change, the exchange velocity modulation is the current elevator dragging main development direction. At present the lift control system mainly has three control modes: Following electric circuit control system (“early installment elevator many black-white control system), PLC control system, microcomputer control system. Because the black-white control system the failure rate is high, the reliability is bad, control mode not nimble as well as consumed power big and so on shortcomings, at present has been eliminated gradually. Key words PLC, elevator, control system, design II

2019年电梯控制智能化系统设计方案.

2019年电梯控制智能化系统设计方案 目录 第一章概述 (2) 第二章系统需求分析 (3) 第三章系统设计目标及原则 (4) 3.1系统设计目标 (4) 3.2系统设计原则 (4) 第四章系统解决方案及技术描述 (5) 4.1系统概述 (5) 4.2系统基本功能及特点 (5) 4.3系统结构 (5) 第五章设备介绍 (7) 第六章工作原理 (8) 第七章系统设备清单及价格 (10) 第八章工程实施 (11) 第九章售后服务 (13) 第十章质量保证 (14)

第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。VD-TK800是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用VD-TK800对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员 进出记录都有据可寻。 VD-TK800基于控制软件平台使用的一个控制模块,它与ACS2002门禁控制系统相互兼容,组成一个强大的保安系统网络,也可以独立使用来控制电梯。VD-TK800可在线运行,可以单机独立运行,即使关闭PC机,VD-TK800也可以正常使用,确保其稳定可靠的控制功 能,从而提高楼宇管理层次。 VD-TK800/E智能电梯控制器

基于单片机的智能电梯控制系统设计

基于单片机的智能电梯控制系统设计

摘要 本文介绍了一种采用单片机STC89C52芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现四层电梯的智能控制,利用单片机编程简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。本设计实现了电梯控制系统所需的一些基本功能,能通过电梯内按键或者电梯外上升、下降按键选择楼层,数码管显示实时楼层数,LED显示实时电梯运行状态。原理图和PCB部分采用protel99se专业软件来设计,实现将设计产品化。本次设计更注重了把一些新的思路加入到设计中。主要包括采用了STC89C52芯片,使用C语言进行编程,使其具有了更强的移植性,更加利于产品升级。 关键词:STC89C52;电梯控制系统;protel99se;C语言

Abstract This paper introduces a design method of using STC89C52 chip for elevator control system, mainly describes how to use microcontroller programming to achieve the intelligent four storey elevator control, the design method of microcontroller programming simple and variable, shortens the development cycle, at the same time that the elevator control system smaller and more powerful. Some of the basic functions of the design and implementation of elevator control system required by the elevator, elevator buttons or rise, decline the key to select the floor, digital tube display real-time number of floors, LED display real-time operating state of elevator. Schematic and PCB design using Protel99SE software, the design of products. This design pays more attention to some new ideas into the design. Including the use of the STC89C52 chip, the use of C language programming, which has portability stronger, more conducive to the upgrading of products. Keywords: STC89C52; elevator control system; Protel99SE; C language

电梯控制系统设计设计说明

电梯控制系统设计设计说明

第 1 页共 3 页 编号: 毕业设计说明书 题目:电梯控制系统设计 院(系):电子工程与自动化学院 专业:电子信息科学与技术专业 学生姓名: 学号:0900840218 指导教师:李莉 职称:讲师 题目类型:理论研究实验研究工程设计√软件开发 2013年5月20日

第 3 页共 39 页 摘要 本设计主要利用AT89S52单片机,实现电梯控制系统的设计。单片机与电机驱动电路的结合完成了电梯基本的升降、楼层停靠、方向选择、时间控制等基本功能,研究并实现了在上位机的模式下通过LABVIEW的远程监测的方法,完成了系统样机的设计与制作。 本设计参照了通用电梯的设计标准,有良好的操作界面和通用的外部接口,具有人性化设计,实现较好的外设兼容性。同时在系统样机中完成的其它设计研究还包括,利用LED和蜂鸣器组成的简单电路实现电梯意外声光报警、利用数码管实现电梯楼层显示,利用4x4矩阵键盘实现电梯楼层按键选择,利用LED实现目的楼层的指示,利用MAX232串口电路实现串口通信,来监测电梯实时状态。样机使用的主要器件包括低功耗、高性能的AT89S52单片机,低功耗、低成本、低电压的MAX232,双全桥电机专用驱动芯片L298,共阴极八段数码管,4x4矩阵键盘等,通过比较合理的设计使样机系统基本达到了任务要求,并具有很高的性价比,硬件设计简单可靠。软件部分使用keil软件进行C语言程序编写,用proteus 7软件进行仿真调试。本设计中综合使用了数字电路、模拟电路、高频电路、单片机及编程、硬件逻辑描述、LABVIEW及其应用以及计算机辅助设计(CAD)等多方面的知识,软硬件结合,很好地完成了本科毕业设计任务要求并取得了良好的学习效果。 关键词:AT89C52;单片机;电梯控制系统; C语言

电梯自动控制系统的设计

电梯控制系统模型 本论文主要介绍的是电梯自动控制模型,硬件部分我们使用的是单片机及外围电路组成高度为四层楼的电梯控制系统。单片机采用AT89C51,晶体振荡器选6MHz,C51、C52为30uF瓷片电容与晶体振荡器形成时钟电路。电容C53、电阻R51、R52和按键RESET构成上电复位和手动复位电路。软件部分采用了两种控制方案,简易控制方案只是简单的电梯上升下降,在各楼层短暂停留。而进一步控制方案则考虑各楼层的信号请求,以完成各楼层的升降控制。该系统具有工作稳定,操作简单等优点。 第一章引言 据国外有关资料介绍,公元前2800年在古代埃及,为了建筑当时的金字塔,曾使用过由人力驱动的升降机械。公元1765年瓦特发明了蒸汽机之后,1858年美国研制出以蒸汽为动力,并通过皮带转动和蜗轮减速装置驱动的电梯。1878年英国的阿姆斯特郎发明了水压梯。并随着水压梯的发展,淘汰了蒸汽梯。后来又出现了采用液压泵和控制阀以及直接柱塞式和侧柱塞式结构的液压梯,这种掖压梯至今仍为人们所采用。但是,电梯得以兴盛发展的原因在于采用了电力作为动力来源.。在20世纪初,美国奥梯斯电梯公司首先使用直流电动机作为动力,生产出以槽轮式驱动的直流电梯,从而为今天的高速度,高行程电梯的发展奠定了基础。20世纪30年代美国纽约市的102层摩天大楼建成,美国奥梯斯电梯公司为这座大楼制造和安装了74台速度为6.0M\S的电梯。从此以后,电梯这个产品,一直在日新月异的发展着.目前电梯产品,不但规格品种多,自动化强,而且安全可靠,乘坐舒服.近几年来,随着电子工业的发展,微处理机和电子计算机已成功的应用到电梯的电气控制系统中去,采用无触点元件的电梯电气控制系统已开始批量生产。 第二章设计要求 采用AT89C51单片机及外围电路组成高度为四层楼的电梯控制系统。 电梯内电路由FS1、FS2、FS3和FS4四个发光二极管作为指示灯,电梯模型上电后,电梯的起始位置为一楼,等待控制台Start按键按下,数码管显示“1”。当Start按键按下后,电梯开始向上运动,控制台的上升指示灯UP亮。2s后到达二楼,数码管显示“2”并在二楼停留5s,然后继续上升。每层楼停留5s,直到四楼。在四楼停留5s后开始下降,控制台的指示灯DOWN亮。每层楼停5s,直到一楼。然后重复上述过程。如果在一个上下循环中按下过Stop键,电梯下降到一楼后停止工作。直到再次按下Start键后重新恢复工作

基于PLC的三层电梯控制系统设计 开题报告

工学院毕业设计(开题报告) 题目:基于PLC的三层电梯控制设计 专业:机械械设计制造及其自动化 班级:06级(2)班 姓名:石德龙 学号:2006664220 指导教师:陈娟 日期: 2010-6-7

安徽科技学院本科生毕业论文(设计)选题申请表 基本情况课题名称 教师姓名职称 课题来源 A.科研 B.生产 C.教学 D.其它课题类型 A.论文 B. 设计 选 题 理 由 签字: 年月日 指 导 教 师 意 见签字: 年月日 审 题 意 见 教学院(部)签章: 年月日安徽科技学院本科生毕业论文(设计)开题报告书

题目基于三层电梯的PLC控制 学生姓名石德龙指导教师陈娟职称副教授 一、本课题的研究背景及意义 (1)题目背景:随着城市建设的不断发展,楼群建筑不断增多,电梯在当今社会的生活中有着广泛的应用。电梯作为楼群建筑中垂直运行的交通工具已与人们的日常生活密不可分。实际上电梯是根据外部呼叫信号以及自身控制规律等运行的,而呼叫是随机的,电梯实际上是一个人机交互式的控制系统,单纯用顺序控制或逻辑控制是不能满足控制要求的,因此,大部分电梯控制系统都采用随机逻辑方式控制。传统的电梯运行逻辑控制系统采用继电器逻辑控制线路。这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术上发展来看,这种系统将逐渐被淘汰。如何解决电梯的可靠性、维护方便等问题已成为全社会关注的焦点和大众的迫切心声。 (2)题目研究的意义:目前,由可编程序控制器和微机组成的电梯运行逻辑控制系统,正以很快的速度发展着。采用PLC控制的电梯可靠性高、维护方便、开发周期短,这种电梯运行更加可靠,并具有很大的灵活性,可以完成更为复杂的控制任务,已成为电梯控制的发展方向,其许多功能是传统的继电器控制系统无法实现。 可编程控制(Programmable Controller)系统是专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,通过数字式或模拟式的输入输出控制各种类型的机械设备或生产过程。通过可编程控制器可以实现由继电器实现的逻辑控制功能,而且最主要的是可编程控制器的“可编程”功能,使得当改变电梯的控制功能时,只要更改程序即可,而不需要像继电器控制系统那样改变硬件和接线。 二、本课题国内外研究现状 传统的电梯控制系统主要采用继电器—接触器进行控制,其缺点是触点比较多,故障高,可靠性差、体积大、维修工作量大等缺点,正逐步被淘汰。世界上各主要PLC生产厂家几乎都有运动控制功能,它的运动控制功能广泛地应用于各种机械,如金切削机床、金属成型机械、电梯等;随着计算机控制的发展,近年来国外工厂

智能电梯控制系统设计

湖南文理学院 课程设计报告 课程名称:自动化系统课程设计专业班级:自动化11班学号 学生姓名: 指导教师: 完成时间:2014年11月20日报告成绩:

目录

一、设计题目 智能电梯控制系统设计 二、设计要求 利用PLC与变频器实现电梯的变频调速控制,该电梯控制系统具有同时呼梯控制、各楼层单独呼梯控制、上升、下降运行控制、轿厢位置显示等功能,电梯至少五层以上。 三、电梯控制系统控制系统设计作用与目的 随着我国经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,电梯也已成为人类现代生活中广泛使用的运输工具。随着人们对电梯运行的安全性、舒适性等要求的提高,电梯得到了快速发展,其拖动技术已经发展到了调频调压调速,其逻辑控制也由PLC代替原来的继电器控制。 可编程控制器(PLC)因为稳定可靠、结构简单、成本低廉、简单易学、功能强大和使用方便已经成为应用最广泛的通用工业控制装置,成为当代工业自动化的主要支柱之一。电梯控制要求接入设备使用简便,对应系统组态的编程简单,具有人性化的人机界面,配备应用程序库,加快编程和调试速度。通过PLC对程序设计,提高了电梯的控制水平,并改善了电梯的电梯运行的舒适感。本文争对以上优点,对电梯运行进行了改进,使其达到了比较理想的控制效果。 四、所用设备及软件 本设计除了需要计算机,实验设备THPFSL-1/2还会用到两款软件:作图软件Altim Desinger、编程软件GX-developer。简介如表1所示。 表1 软件简介

系统总体结构原理图 主控制器是整个电梯的核心。不但要保证整个系统的稳定运行,而且要在极短的时间内对系统所有的任务进行响应。 其任务包括:接收、处理电梯的各种状态,并做出相应的动作,控制电梯的总体运行,实施对电梯驱动部分的控制,包括抱闸的松放、门机的开关、变频器低、中、高速的给出等控制。接收轿厢控制器送来的内选信号,执行内选外呼指令,向轿厢控制器、呼梯控制器发送楼层指示信号,实施安全保护等。为了实现电梯状态监控的需要,主控制器还加入了基于LCD显示的电梯参数设置、监控系统。 程序流程图

自动升降电梯自动控制系统

自动升降电梯自动控制系统 自动升降电梯自动控制系统概述 随着变频技术和PLC控制技术的发展,工厂中的自动化生产线也越来越多,由于一些行业的生产工艺的要求或是由于生产车间和场地的特殊情况,要求一些生产线需要配置相应的物件提升装置。以前在工厂中经常看见一些升降机械,这些升降机械很多由工频电机直接带动运行,电气控制部分一般都很简单,多数采用人工手动控制或采用继电器控制方式。这些升降机械存在一些明显的问题,如启动停止和运行不平稳,升降运动过程动作不可靠,自动化程度不高,故障率较高,设备能耗高,无法应急运行,存在安全隐患等等。基于这些问题使得这些升降机械很难在工厂生产中发挥高效率的作用,同时也使得国内这些生产的升降机械无法与进口的自动化生产线配套使用,也无法根据实际的生产需要转换和调整升降机械的动作方式和工作顺序。应用西门子S7-200 PLC和西门子MM420变频器组成的升降电梯控制和驱动系统,可以完成对升降电梯自动运行的智能化控制和管理,可以根据生产线的实际生产需要和具体工艺要求自动调整升降方向和速度快慢。也可以在变频器发生故障时自动将发生故障的电机切换到工频状态应急工作,系统设有西门子TP170A触摸屏,可以向工作人员提示设备的状态和故障信息。整个系统自动化程度高,应用范围广,可以在多个行业与国内外各型生产线配套使用。 自动升降电梯机械系统和自动控制系统概述 1.自动升降电梯机械系统的组成: 自动升降电梯的机械系统由电梯入口和出口传输机构,轿箱内部吊篮传输机构,轿箱升降机构,电梯厅门安全机构和升降电机安全抱闸机构组成。 电梯入口和出口传输机构用于将生产线上的产品向电梯内或电梯外传送。轿箱内部吊篮传送机构用于将产品传入或传出轿箱。轿箱升降机构用于提升电梯轿箱或下降电梯轿箱。电梯厅门安全机构用于在电梯轿箱升降过程中关闭电梯井厅门入口,防止安全事故发生。升降电机安全抱闸机构用于在电梯轿箱停止运动时抱住升降电机主轴,防止电梯轿箱在停止运动时发生上下滑动,避免由此而产生的安全事故。 2.自动升降电梯的自动控制系统的组成:

基于PLC系统电梯控制系统毕业设计论文

本科学生毕业设计(论文) 毕业论文 课题名称:基于PLC的四层电梯控制 班级:07自动化2 学号:08 姓名: 指导教师: 信息工程系

论文摘要 本文介绍一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。本机控制单元采用以三菱公司的可编程控制器PLC对机器进行全过程控制。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。

目录 论文摘要 (1) 第四章电梯的电气控制系统 (5) 4.1概述 (5) 4.2电梯电气控制系统中的主要电器部件 (5) 4.3电梯自动控制系统中的各主要控制环节及结构原理 (6) 4.3.1 各类电梯安全可靠运行的充分与必要条件 (6) 4.3.2 电梯自动开关门的控制环节 (6) 4.3.3 电梯的方向控制环节 (7) 4.3.4 发生制动减速信号的控制环节 (9) 4.3.5 主驱动控制环节 (10) 4.3.6 电梯的安全保护环节 (10) 4.4电梯的内外召唤指令的登记与消除 (12) 4.4.1 召唤指令信号登记记忆线路的原理说明 (13) 4.4.2 轿内信号的登记、记忆与消除 (14) 4.4.3 层外召唤信号的登记记忆与消除 (15) 4.5电梯的信号指示系统 (16) 4.5.1 数码显示的层楼指示灯 (16) 4.5.2 运行方向灯、轿内指令及厅外召唤信号灯 (16) 4.5.3 超载信号指示灯及音响 (17) 4.6电梯的消防控制系统 (18) 4.6.1 电梯控制系统中适应消防控制的几个基本要求 (18) 4.6.2 消防控制系统的类型及工作原理 (19) 4.7交流信号控制电梯线路原理说明 (20) 4.7.1 概况 (20) 4.7.2 电梯投入使用和撤出使用 (20) 4.7.3 自动开关门 (20) 4.7.4 电梯的启动,加速和满速运行,制动减速,停车和开门 (21) 4.7.5 指令信号登记,记忆和消除 (22) 4.7.6 电梯的安全保护 (22) 第五章结论 (23) 参考文献 (55) 附录一I/O分配表 (56) 附录二交流双速电梯线路图元件代号说明 (57)

PLC电梯控制系统的设计

河南工业职业技术学院 毕业设计 题目 PLC电梯控制系统的设计系院电气工程系 专业 班级 学生姓名 学号 指导教师

前言 随着电子技术的发展,当前数字电器系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。推动该潮流发展的引擎就是日趋进步和完善的PLC设计技术。目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能的要求,自上而下的完成相应的描述、综合、优化、仿真与验证,直接生成器件。上述设计过程除了系统行为和功能描述以外,其余所有的设计几乎都可以用计算机来自动完成,也就说做到了电器设计自动化这样做可以大大的缩短系统的设计周期,以适应当今品种多、批量小的电子市场的需求。 电器设计自动化的关键技术之一是要求用形式化的方法来描述数字系统的硬件电路,即要用所谓的硬件语言来描述硬件电路。所谓硬件描述语言及相关的仿真、综合等技术的研究是当今电器设计自动化领域的一个重要课题。 PLC的设计和开发,已经有多种类型和款式。传统的PLC各有特点,它们适合在现场做手工测量,要完成远程测量并要对测量数据做进一步分析处理,传统PLC是无法完成的。然而基于PC 通信的PLC,既可以完成测量数据的传递,又可借助PC,做测量数据的处理。所以这种类型的PLC无论在功能和实际应用上,都具有传统PLC无法比拟的特点,这使得它的开发和应用具有良好的前景。

目录 1.前言 2.电梯控制基本概念 3.电梯控制的组成 4.电梯控制的移动 5.电梯PLC系统的模拟组态 6.货运电梯重量超载的控制 7.总结 8.参考文献

2. PLC电梯控制的基本概念 电梯控制系统可分为电力拖动系统和电气控制系统两个主要部分。电力拖动系统主要包括电梯垂直方向主拖动电路和轿箱开关电路。二者均采用易于控制的直流电动机作为拖动动力源。主拖动电路采用PWM调试方式,达到了无级调速的目的。而开关门电路上电机仅需一种速度进行运动。电气控制系统则由众多呼叫按钮、传感器、控制用继电器、指示灯、LED七段数码管和控制部分的核心器件(PLD)等组成。PLC集信号采集、信号输出及逻辑控制于一体,与电梯电力拖动系统一起实现了电梯控制的所有功能。 电梯控制系统原理框图如图1所示,主要由轿箱内指令电路、门厅呼叫电路、主拖动电机电路、开关门电路、档层显示电路、按钮记忆灯电路、楼层检测与平层检测传感器及PLC电路等组成的。 电梯控制系统的硬件结构如图2所示。包括按钮编码输入电路、楼层传感器检测电路、发光二极管记忆灯电路、PWM控制直流电机无线调速电路、轿箱开关电路、楼层显示电路及一些其他辅助电路等。为减少PLC输入输出点数,采用编码的方式将31个呼叫及指层按钮编码五位二进制码输入PLC PLC系统的其它设备 1 编程设备:编程器是PLC开发应用、监测运行、检查维护不可缺少的器件,用于编程、对系统作一些设定、监控PLC及PLC 所控制的系统的工作状况,但它不直接参与现场控制运行。小编程器PLC一般有手持型编程器,目前一般由计算机(运行编程软件)充当编程器。 2 人机界面:最简单的人机界面是指示灯和按钮,目前液晶屏(或触摸屏)式的一体式操作员终端应用越来越广泛,由计算机(运行组态软件)充当人机界面非常普及。 3 输入输出设备:用于永久性地存储用户数据,如EPROM、EEPROM写入器、条码阅读器,输入模拟量的电位器,打印机等。

相关文档
最新文档