键盘电路的类型及其软硬件设计方法

键盘电路的类型及其软硬件设计方法
键盘电路的类型及其软硬件设计方法

基于FPGA的键盘扫描电路 EDA课程设计

信息科学与技术学院 EDA 课程设计报告 题目名称:基于FPGA 的键盘扫描电路 学生姓名:王彪 学 号:2010508115 专业年级:电信10级(2)班 指导教师:钟福如老师 时 间: 2010.1.13

目录 1 课程设计综述—————————————————————— 2 1.1 课程设计的题目———————————————————— 2 1.2 题目要求——————————————————————— 2 2 方案选择———————————————————————— 2 3 整体电路的设计及分析——--——————————————— 3 3.1 顶层电路图—————————————————————— 3 3.2 各模块功能原理分析—————————————————— 4 4 心得体会——————————————————————— 12

1.课程设计综述 1.1 课程设计的题目 基于FPGA的键盘扫描电路。 1.3 题目要求 (1)、键盘按钮数为4,系统时钟10MHz。 (2)、能识别出所按按钮。 (3)、按钮被按下后,视为此按钮输入一次,若按钮长时间不松,(时限1S)后每隔0.5S 视为再次输入,直至按钮松开。 (4)、要求能对按钮按下时指令的抖动能正确处理。对持续时间小于50ms的输入不作响应。 (5)、各键设置不同优先级,多键同时按下时,视为优先级较高的按键被按下。2.方案选择 根据题目要求,需要4个按钮的键盘,通过查阅资料我选择通用的2*2行列式键盘,判断键盘中有无按键按下是通过行线送入扫描信号,然后从列线读取状态得到的。其方法是依次给行线送低电平,检查列线的输入。如果列线信号全为高电平,则代表低电平信号所在的行中无按键按下;如果列线有输入为低电平,则低电平信号所在的行和出现低电平的列的交点处有按键按下。原理框图如下所示:

电路四输入与非门设计

课程设计任务书 学生姓名:专业班级:电子1003班 指导教师:封小钰工作单位:信息工程学院 题目: CMOS四输入与非门电路设计 初始条件: 计算机、ORCAD软件、L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习ORCAD软件、L-EDIT软件。 (2)设计一个CMOS四输入与非门电路。 (3)利用ORCAD软件、L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2013.11.22布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2013.11.25-11.27学习ORCAD软件、L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。 2013.11.28-12.5对CMOS四输入与非门电路进行设计仿真工作,完成课设报告的撰写。 2013.12.6 提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

摘要........................................................................ I Abstract ................................................................... II 1 绪论 (1) 2 设计内容及要求 (2) 2.1 设计的目的及主要任务 (2) 2.2 设计思想 (2) 3软件介绍 (3) 3.1 OrCAD简介 (3) 3.2 L-Edit简介 (4) 4 COMS四输入与非门电路介绍 (5) 4.1 COMS四输入与非门电路组成 (5) 4.2 四输入与非门电路真值表 (6) 5 Cadence中四输入与非门电路的设计 (7) 5.1 四输入与非门电路原理图的绘制 (7) 5.2 四输入与非门电路的仿真 (8) 6 L-EDIT中四输入与非门电路版图的设计 (10) 6.1 版图设计的基本知识 (10) 6.2 基本MOS单元的绘制 (11) 6.3 COMS四输入与非门的版图设计 (13) 7课程设计总结 (14) 参考文献 (15)

一键开关机电路设计集锦

一键开关机电路设计集锦 键可以作为开机键,接地时V15通,单片机上电,使MCU拉高,使V16通,保持。若此时长按KEY,则单片机读取键值,判断是否长按,若为长按,单片机控制MCU为低,进行自杀。下图试验证明是可行的。 单键实现单片机开关机? 1,控制流程,按下按键,Q1导通.单片机通电复位,进入工作.? 2,检测?K-IN?是否低电平,否?不处理.是?单片机输出?K-OUT?为高电平,Q2导通,相当于按键长按.LED指示灯亮.?3,放开按键,K-IN?经过上拉电阻,为高电平.单片机可以正常工作.? 4,在工作期间,按键按下,K-IN?为低电平,单片机检测到长按1秒,K-OUT?输出低电平,Q2截止.LED指示灯熄灭.放开按键,Q1截止,单片机断电.? 5,通过软件处理,可以实现短按开机,长按关机.? 单片机用PIC16F84A,通过简单的程序演示,证实此电路的可行性。 这电路如果这样用,是体现不出它的优点,用到开关电源控制,控制光耦.可以做到完全关断电原,实现零功耗待机.有些打印机上就是用这种电路. 此电路可以应用于很宽的电压范围(4.5V~40V,最大19A的电流),R5为可选,当输入电压小于20V时可短接;输入电压大于20V时建议接上,R5的取值应满足与R1的分压使MOS管V1的GS电压大于-20V 小于-5V(在V2导通时),尽量使V1的GS电压在-10V~-20V之间以使V1输出大电流。 按钮按下前,V2的GS电压(即C1电压)为零,V2截止,V1的GS电压为0,V1截止无输出;当按下S1,C1充电,V2?GS电压上升至约3V时V2导通并迅速饱和,V1?GS电压小于-4V,V1饱和导通,Vout有输出,发光管亮(此时应放开按钮)C1通过R2、R3继续充电,V1、V2状态被锁定;当再次按下按钮时,由于V2处于饱和导通状态,漏极电压约为0V,C1通过R3放电,放至约3V时,V2截止,V1栅源电压大于-4V,V1截止,Vout无输出,发光管灭(放开按钮),C1通过R2、R3及外电路继续放电,V1、V2维持截止状态。 注:S1使Vout打开或关闭后应放开按钮,不然会形成开关振荡。

单片机键盘显示接口电路设计说明

中北大学 单片机及其接口技术 课程设计说明书 学生:学号: 学院: 专业: 题目:单片机键盘显示接口电路设计 指导教师:小林职称: 副教授 2012年6月17日

中北大学 单片机及其接口技术 课程设计任务书 11/12 学年第二学期 学院: 专业: 学生姓名:学号: 课程设计题目:单片机键盘显示接口电路设计 起迄日期:6月11日~6月17日 课程设计地点:中北大学 指导教师:小林 系主任:王忠庆

下达任务书日期: 2012年06月11日课程设计任务书

课程设计任务书

第一章、绪论89C51是一种带4KB闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压、高性能CMOS8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦除100次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL 的89C51是一种高效微控制器,89C2051是它的一种精简版本。89C 单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。在本次课程设计中,便采用89C51单片机。 第二章、设计容 一、4×4键盘 原理:4 行 行 行 行

图1 电路原理图见附图一 本次设计为4×4的矩阵键盘,这样的设计可以有效的减少键盘与单片机接口时所占用的I/O接口。在这种非编码键盘的单片机系统中,键盘处理程序首先执行有无按键按下的程序段,当确认有按键按下后,下一步就要识别哪一个按键被按下,对键的识别常采用逐行(逐列)扫描的方法。 首先判断有无按键按下。方法是:向行线输出全扫描字00H,把全部行线置为低电平,然后将列线的电平状态读入到累加器A中,如果有按键按下,会使列线电平被拉至低电平,是列输入不全为1。 判断键盘哪一个键被按下。方法是:一次给行线送低电平,然后查所有列线状态,称为行扫描,如果全为1,则所按下键不在此行,如果不全为1,则所按下键必在此行,而且是在与零电平列线相交的交点上的那个键。 在此,按键的位置码并不等于按键的实际定义键值,因此还必须进行转换,即键值译码,本次设计中采用软件实现键值的译码,译码方式如下: 第0行键值为:0行×4+列号(0~3)为0、1、2、3; 第1行键值为:1行×4+列号(0~3)为4、5、6、7; 第2行键值为:2行×4+列号(0~3)为8、9、A、B; 第3行键值为:3行×4+列号(0~3)为C、D、E、F; 译码程序如下:

RC正弦波振荡器电路设计及仿真

《电子设计基础》 课程报告 设计题目: RC正弦波振荡器电路设计及仿真学生班级: 学生学号: 学生姓名: 指导教师: 时间: 成绩: 西南xx大学 信息工程学院

一.设计题目及要求 RC正弦波振荡器电路设计及仿真,要求: (1)设计完成RC正弦波振荡器电路; (2)仿真出波形,并通过理论分析计算得出频率。 二.题目分析与方案选择 在通电瞬间电路中瞬间会产生变化的信号且幅值频率都不一样,它们同时进入放大网络被放大,其中必定有我们需要的信号,于是在选频网络的参与下将这个信号谐振出来,进一步送入放大网络被放大,为了防止输出幅值过大所以在电路中还有稳幅网络(如图一中的两个二极管),之后再次通过选频网络送回输入端,经过多次放大稳定的信号就可以不断循环了,由于电路中电容的存在所以高频阻抗很小,即无法实现放大,且高频在放大器中放大倍数较小。 三.主要元器件介绍 10nf电容两个;15kΩ电阻一个;10kΩ电阻三个;滑动变阻器一个;2.2k Ω电阻一个;二极管两个;运算放大器;示波器 四.电路设计及计算 电路震荡频率计算: f=1/2πRC

起振的复制条件:R f/R i>=2 其中R f=R w+R2+R3/R d 由其电路元件特性 R=10KΩ C=10nF 电路产生自激震荡,微弱的信号1/RC 经过放大,通过反馈的选频网络,使输出越来越大,最后经过电路中非线性器件的限制,使震荡幅度稳定了下来,刚开始时A v=1+R f/R i >3。 平衡时A v=3,F v=1/3(w=w0=1/RC) 五.仿真及结果分析 在multisim中进行仿真,先如图一连接好电路,运行电路,双击示波器,产生波形如下图 图2 刚开始运行电路时,输出波形如图2,几乎与X轴平行,没有波形输出。

输入与非门电路版图设计

成绩评定表

课程设计任务书

目录 1 绪论 (1) 1.1设计背景 (1) 1.2设计目标 (1) 2 四输入与非门电路 (2) 2.1电路原理图 (2) 2.2四输入与非门电路仿真观察波形 (2) 2.3四输入与非门电路的版图绘制 (3) 2.4四输入与非门版图电路仿真观察波形 (4) 2.5LVS检查匹配 (5) 总结 (7) 参考文献 (8) 附录一:电路原理图网表 (9) 附录二:版图网表 (10)

1 绪论 1.1 设计背景 tanner是用来IC版图绘制软件,许多EDA系统软件的电路模拟部分是应用Spice程序来完成的,而tanner软件是一款学习阶段应用的版图绘制软件,对于初学者是一个上手快,操作简单的EDA软件。 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国内应用广泛,具有很高知名度。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 1.2设计目标 1.用tanner软件中的原理图编辑器S-Edit编辑四输入与非门电路原理图。 2.用tanner软件中的W-Edit对四输入与非门电路进行仿真,并观察波形。 3.用tanner软件中的L-Edit绘制四输入与非门版图,并进行DRC验证。 4.用W-Edit对四输入与非门的版图电路进行仿真并观察波形。 5.用tanner软件中的layout-Edit对四输入与非门进行LVS检验观察原理图与版图的匹配程度。

电力电子电路设计与仿真

1 设计 1.1 总体设计 根据本课题需要,我们需要设计一个逆变电源装置。我们需要设计出输入输出滤波电路、逆变电路、驱动电路、检测电路、保护电路等模块并设计出其参数,其结构框图如Figure 1 所示。 Figure 1 总体结构框图 1.2 逆变电源装置的主电路设计 电网的交流电经过二极管不控整流电路将交流电转换成脉动的直流电,经过直流滤波电路,使脉动的直流电的电压波形变得更加平滑,变成有一定纹波的稳压电源,经过三相逆变电路后,输出为三相交流电,再通过隔离变换电路,滤除三相交流电的直流成分,再经过输出滤波器,此时输出的三相交流电就能很好带动负载并能很好的的满足课题的需求。 Figure 2 主电路原理框图

1.2.1 负载参数的计算 Figure 3 等效负载 Ⅰ 负载电阻最小值 Ⅱ 负载电感最小值

1.2.2 滤波电容参数的计算 滤波电容与负载并联,对逆变电路输出电流影响较大,所以设计滤波电路时,先选择设计滤波电容。首先取滤波电容容抗等于负载电感感抗的2倍 即 则有 我们取 。7个 250V 50HZ 交流电路用于60HZ时耐压降为60%。 即:250×0.6=150V > 110V

1.2.3 滤波电感参数的计算 滤波电感的作用是减小输出电压的谐波电压,保证基波电压的传输,即电感不可太大也不可以太小。选取的电感参数应满足以下几个条件:①滤波电路的固有频率应远离输出电压中可能出现的谐波频率,② 不应太大而接近于1,③ 应该较小 我们取 ,则有 实取L =1.6mH,则有 此时滤波电路的固有频率为

1.2.4 逆变电路的输出电压 Figure 4 逆变输出后的等效图 Ⅰ 空载 Ⅱ ①额定负载

矩阵键盘设计实验报告

南京林业大学 实验报告 基于AT89C51 单片机4x4矩阵键盘接口电路设计 课程机电一体化设计基础 院系机械电子工程学院 班级 学号 姓名

指导老师杨雨图 2013年9月26日

一、实验目的 1、掌握键盘接口的基本特点,了解独立键盘和矩 阵键盘的应用方法。 2、掌握键盘接口的硬件设计方法,软件程序设计 和贴士排错能力。 3、掌握利用Keil51软件对程序进行编译。 4、用Proteus软件绘制“矩阵键盘扫描”电路,并用测试程序进行仿真。 5、会根据实际功能,正确选择单片机功能接线,编制正确程序。对实验结果 能做出分析和解释,能写出符合规格的实验报告。 二、实验要求 通过实训,学生应达到以下几方面的要求: 素质要求 1.以积极认真的态度对待本次实训,遵章守纪、团结协作。 2.善于发现数字电路中存在的问题、分析问题、解决问题,努力培养独立 工作能力。 能力要求 1.模拟电路的理论知识 2.脉冲与数字电路的理念知识 3.通过模拟、数字电路实验有一定的动手能力 4.能熟练的编写8951单片机汇编程序 5.能够熟练的运用仿真软件进行仿真 三、实验工具 1、软件:Proteus软件、keil51。 2、硬件:PC机,串口线,并口线,单片机开发板 四、实验内容

1、掌握并理解“矩阵键盘扫描”的原理及制作,了解各元器件的参数及格 元器件的作用。 2、用keil51测试软件编写AT89C51单片机汇编程序 3、用Proteus软件绘制“矩阵键盘扫描”电路原理图。 4、运用仿真软件对电路进行仿真。 五.实验基本步骤 1、用Proteus绘制“矩阵键盘扫描”电路原理图。 2、编写程序使数码管显示当前闭合按键的键值。 3、利用Proteus软件的仿真功能对其进行仿真测试,观察数码管的显示状 态和按键开关的对应关系。 4、用keil51软件编写程序,并生成HEX文件。 5、根据绘制“矩阵键盘扫描”电路原理图,搭建相关硬件电路。 6、用通用编程器或ISP下载HEX程序到MCU。 7、检查验证结果。 六、实验具体内容 使用单片机的P1口与矩阵式键盘连接时,可以将P1口低4位的4条端口线定义为行线,P1口高4位的4条端口线定义为列线,形成4*4键盘,可以配置16个按键,将单片机P2口与七段数码管连接,当按下矩阵键盘任意键时,数码管显示该键所在的键号。 1、电路图

设计一 四位与非门的电路设计

四位与非门的电路设计 一、课程设计的目的 1、学会使用电路设计与仿真软件工具Hspice ,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice 内部元件库。通过该实验,掌握Hspice 的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2、本次课程设计是用Hspice 软件来实现对四位与非门电路的设计与仿真,熟悉用MOS 器件来设计四位逻辑输入与非门电路,了解用MOS 器件设计与TTL 与非门的优缺点。 二、课程设计的内容和要求 1、内容:用仿真软件HSPICE ,用网表文件来描述模拟电路; 2、要求:用MOS 器件来设计四位逻辑输入与非门电路。 三、设计的原理 1、四输入与非门符号图及原理 A OUTPUT NAND4 1 2 3 45 D C B 真值表如下所示

A B C D Y 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 0 四输入端CMOS与非门电路,其中包括四个串联的N沟道增强型MOS管和四个并联的P沟道增强型MOS管。每个输入端连到一个N沟道和一个P沟道MOS管的栅极。当输入端A、B、C、D中只要有一个为低电平时,就会使与它相连的NMOS管截止,与它相连的PMOS管导通,输出为高电平;仅当A、B、C、D全为高电平时,才会使四个串联的NMOS管都导通,使四个并联的PMOS管都截止,输出为低电平。设计电路图如下图所示:

按键状态扫描显示电路的设计与制作

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 按键状态扫描显示电路的设计与制作 初始条件: (1)以0~9十个数符标识十个按键 (2)当有键按下时,显示其标识符,并保持显示符直到新的按键作用 (3)如果多个按键同时作用,只响应最先作用的按键 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月27日~6月30日:明确课题,收集资料,方案确定,仿真 7月1日~7月4日:硬件电路制作与调试 7月5日~7月8日;报告撰写,交设计报告,答辩指导教师签名:年月日 系主任(或责任教师)签名:年月

目录 摘要 (Ⅰ) 1 任务及要求 (2) 1.1 设计任务 (2) 1.2 设计要求 (2) 2 设计方案 (2) 2.1 总体设计思想 (2) 2.2 总体逻辑功能图 (2) 2.3 设计方案的选择 (3) 2.3.1 编码电路的选择 (3) 2.3.2 触发电路的选择 (5) 3 单元电路的功能说明 (6) 3.1 单元电路的设计 (6) 3.1.1 按键控制电路 (6) 3.1.2 编码电路 (8) 3.1.3 触发电路的设计 (9) 3.1.4 逻辑反馈电路的设计 (10) 3.1.5 译码显示电路的设计 (11) 3.2 整体电路的工作原理 (15) 4 利用Protues,Multisim仿真电路 (16) 5 结束语 (18) 参考文献 (19) 附录 (20)

矩阵键盘电路设计

课程设计 题目矩阵键盘电路设计教学院计算机学院 专业计算机应用技术班级 姓名 指导教师 2010 年01 月12 日

前言.................................................................... 第一章需求分析......................................................... 功能描述......................................................... 功能分析......................................................... 第二章系统的原理及分析................................................. 用到的知识点的介绍,知识点使用的总体思路 第三章详细设计......................................................... 硬件设计 系统结构图,元器件的选择等 软件设计 所设计的软件关键模块的程序流程 第四章测试............................................................ 运行结果分析等 第五章总结............................................................. 参考文献................................................................ 附录 关键程序代码........................................................

电路设计与仿真报告完成版

实验一用硬件描述语言设计电路 一、实验目的 用硬件描述语言AHDL(Altera HardwareDescription Language)、Verilog HDL等自主设计电路,完成相应功能。 二、实验程序 1、比较器设计(采用VerilogHDL语言) modulecompare_n(x,y,xey,xgy,xsy); input [3:0]x,y; outputxey,xgy,xsy; regxey,xgy,xsy; always@(x or y) begin if (x==y) xey=1; elsexey=0; if (x>y) xgy=1; elsexgy=0; if (x

begin count<=0; temp1<=~temp1; end else count<=count+1; end always@(negedgeclockin) begin if(count==1) temp2<=~temp2; end assignclockout=temp1^temp2; endmodule 实验波形 给定的时钟clockin周期为20ns,经过MAX+plusⅡ仿真后得到如下波形: 从上面的波形可以看出,输出信号的频率变为输入时钟信号频率的三分之一,实现了三分频。

最新与门电路和与非门电路原理培训资料

什么是与门电路及与非门电路原理? 什么是与门电路 从小巧的电子手表,到复杂的电子计算机,它们的许多元件被制成集成电路的形式,即把几十、几百,甚至成干上万个电子元件制作在一块半导体片或绝缘片上。每种集成电路都有它独特的作用。有一种用得最多的集成电路叫门电路。常用的门电路有与门、非门、与非门。 什么是门电路 “门”顾名思义起开关作用。任何“门”的开放都是有条件的。例如.一名学生去买书包,只买既好看又给买的,那么他的家门只对“好看”与“结实”这两个条件同时具备的书包才开放。 门电路是起开关作用的集成电路。由于开放的条件不同,而分为与门、非门、与非门等等。 与门 我们先学习与门,在这之前请大家先看图15-16,懂得什么是高电位,什么是低电位。

图15-17甲是我们实验用的与用的与门,它有两个输入端A、B和一个输出端。图15-17乙是它连人电路中的情形,发光二极管是用来显示输出端的电位高低:输出端是高电位,二极管发光;输出端是低电位,二极管不发光。 实验 照图15-18甲、乙、丙、丁的顺序做实验。图中由A、B引出的带箭头的弧线,表示把输入端接到高电位或低电位的导线。每次实验根据二极管是否发光,判定输出端电位的高低。

输入端着时,它的电位是高电位,照图15-18戊那样,让两输人端都空着,则输出瑞的电位是高电位,二极管发光。 可见,与门只在输入端A与输入端B都是高电位时,输出端才是高电位;输入端A、B只要有一个是低电位,或者两个都是低电位时,输出端也是低电位。输人端空着时,输出端是高电位。 与门的应用 图15-19是应用与门的基本电路,只有两个输入端A、B同低电位间的开关同时断开,A与B才同时是高电位,输出端也因而是高电位,用电器开始工作。

ASCII码键盘编码电路设计

ASCII码键盘编码电路设计 一、课程设计的目的。 1、掌握基本数字电路的一般设计方法,了解电子设备的研发思路。 2、认识基本电路的原理并对其安装及调试。 3、通过课程设计提高解决实际问题的能力。 4、提高团队意识和自学能力 二、任务的描述。 自行设计一个键盘,键盘共8*8=64个键,在外加两个控制键Shift 键和Ctrl键作用下,共完成128个键的ASCII码输出其中,Shift 键为英文大小写字母及其它符号控制键。Ctrl键为文字符号(也称控制符号)和字符控制符。 三、设计任务分析。 ASCII码键盘编码电路的任务是把键盘上所按下按钮产生的开关信号,编成一个对应的ASCII代码从输出端输出。这个任务可以分解为如下几个部分: 1、开关:通过控制键盘产生开关信号。 2、开关信号的编码和标准ASCII编码表

3、Shift键和Ctrl键的实现:当按下某键时,在输出端会显示该键上档的ASCII 码,对于键盘的前四列当按下该键的同时,按下Shift键输出端输出该键下档字符的ASCII码。对键盘的后四列的同时按下Ctrl键输出端输出该健下档字符的ASCII码。 4、ASCII码的输出:任意接通键盘按键的行、列,输出的ASCII码即为行列交点处字符的ASCII码。 四、具体设计过程的描述: 对比本编码与标准ASCII码可知,本编码的前四列高档字符比标准ASCII码小32(100000B),低档字符比标准ASCII码小64(1000000B)。后四列高档字符与标准ASCII码相同,低档字符比标准ASCII码大32(100000)。因此在编码后需对编码值进行修订以得到正确的ASCII码。本设计采用加法器对编码进行修正。观察本编码与标准ASCII码差值可知,只需要对编码所得高位部分进行适量大的修订即可得到标准结果。本设计采用串行进位加法器以实现该逻辑功能。对键盘上的前四列上档字符需要使用加法器对其高位加100B,当按住shift时要输出低档字符的ASCII时,高位需加上1000B。对键盘的四列,其上档字符的编码正好等于标准ASCII码无需处理,当按住ctrl输出其下档字符时,由于其编码比ASCII码大32,需要对其高位减去100B,即加上1100B(100B的补码),即得到所按键的ASCII 码。 五、设计方案的确定。 最终的电路见图示。电路如下图(1):

电子电路设计与仿真工具

电子电路设计与仿真工具 我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进行实践。但是有的时候,我们会发现做出来的东西有很多的问题,事先并没有想到,这样一来就浪费了我们的很多时间和物资。而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势。有没有能够不动用电烙铁试验板就能知道结果的方法呢?结论是有,这就是电路设计与仿真技术。 说到电子电路设计与仿真工具这项技术,就不能不提到美国,不能不提到他们的飞机设计为什么有很高的效率。以前我国定型一个中型飞机的设计,从草案到详细设计到风洞试验再到最后出图到实际投产,整个周期大概要10年。而美国是1年。为什么会有这样大的差距呢?因为美国在设计时大部分采用的是虚拟仿真技术,把多年积累的各项风洞实验参数都输入电脑,然后通过电脑编程编写出一个虚拟环境的软件,并且使它能够自动套用相关公式和调用长期积累后输入电脑的相关经验参数。这样一来,只要把飞机的外形计数据放入这个虚拟的风洞软件中进行试验,哪里不合理有问题就改动那里,直至最佳效果,效率自然高了,最后只要再在实际环境中测试几次找找不足就可以定型了,从他们的波音747到 F16都是采用的这种方法。空气动力学方面的数据由资深专家提供,软件开发商是IBM,飞行器设计工程师只需利用仿真软件在计算机平台上进行各种仿真调试工作即可。同样,他们其他的很多东西都是采用了这样类似的方法,从大到小,从复杂到简单,甚至包括设计家具和作曲,只是具体软件内容不同。其实,他们发明第一代计算机时就是这个目的(当初是为了高效率设计大炮和相关炮弹以及其他计算量大的设计)。 电子电路设计与仿真工具包括SPICE/PSPICE;multiSIM7;Matlab;SystemView;MMICAD LiveWire、Edison、Tina Pro Bright Spark等。下面简单介绍前三个软件。 ①SPICE(Simulation Program with Integrated Circuit Emphasis):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,

基于FPGA的键盘扫描程序的设计

摘要 在现代电子工业的控制电路中,键盘扫描和显示电路对系统的调试和设置有着重要的作用。随着EDA技术的发展,基于FPGA的扫描键盘因其结构简单,能有效防止机械键盘按键抖动带来的数据错误等优点在许多电子设备中都得到了广泛的应用。 本文主要是设计一个基于FPGA的键盘扫描程序,该设计在EDA工具Quarutus II9.0上开发完成,以Creat-SOPC2000实验箱上的4*4矩阵键盘为硬件实体,设计键盘扫描程序,将程序划分为时序产生模块、键盘扫描模块、弹跳消除模块、键值译码模块四个模块,时序产生模块为键盘扫描和弹跳消除模块产生时钟信号,键盘扫描模块采用行扫描法对4*4矩阵键盘进行扫描,键值译码模块将所按键值译码为共阳极8位7段数码管的显示码,几个模块组合起来实现键盘扫描的设计要求。最后对程序进行仿真分析和硬件验证。仿真结果表明,该系统具有集成度高、稳定性好、设计灵活和设计效率高等优点。 关键词: FPGA,Quartus II,VHDL,键盘扫描

ABSTRACT In the modern electronics industry controlling-circuit, the keyboard scanning and display circuit plays an important role in debugging and setting the system. With the development of EDA technology, FPGA-based scanning keyboard have been widely used in many electronic devices because of its simple structure, and it also can effectively prevent mechanical keyboard jitter caused by data errors. This article primarily designed an FPGA-based keyboard scan procedures, this design is developed on the EDA tools—— Quarutus II9.0 and designed the keyboard scan program, using the Creat-SOPC2000 experimental box 4 * 4 matrix keyboard as the hardware entity .the program is divided into four modules as the timing generation module, a keyboard scanning module, bounce cancellation module and the decoding module. The timing generation module generates the clock signal for the keyboard scanning and bounce elimination module, the keyboard scanning module using the line scanning method to sweep the 4* 4 matrix keyboard, key decoder module decodes the key value for the common anode eight 7-segment display code. Several modules assembles together to meet the keyboard scanning design requirements. Finally, conducting simulation analysis by the program and verifying the hardware.Simulation results show that the system has many advantages such as high integration, good stability, high efficiency, flexible design and high design efficiency. Keywords: FPGA,Quartus II,VHDL,keyboard scanning

Lab 2 二与非门电路原理图设计

Lab 2 二与非门电路原理图设计 1.实验目的 1.1了解Schematic设计环境 1.2掌握二与非门电路原理图输入方法 1.3掌握逻辑符号创建方法 2.实验原理 2.1Schematic设计环境 启动Schematic Editor后,在命令解释窗口CIW中,打开任意库与单元中的Schematic视图,浏览Schematic Editing窗口如图2.1所示,顶部为菜单栏(Menu),左侧为图标栏(Icon Bar),具体介绍如下: 图2.1 Schematic Editing窗口 菜单栏 菜单栏中可选菜单有Tool、Design、Window、Edit、Add、Check、Sheet、Options等项。其中常用菜单有: Tool菜单提供设计工具以及辅助命令。比如,lab4、lab5所使用的仿真工具ADE,就在Tool下拉菜单中。 Window菜单中的各选项有调整窗口的辅助功能。比如,Zoom选项对窗口放大(Zoom in)与缩小(Zoom out),fit选项将窗口调整为居中,redraw选项为刷新。 Edit菜单实现具体的编辑功能,主要有取消操作(Undo)、重复操作(Redo)、拉伸(Stretch)、拷贝(copy)、移动(Move)、删除(Delete)、旋转(Rotate)、属性(Properties)、选择(Select)、查找(Search)等子菜单,在以下实验中将大量应用。 Add菜单用于添加编辑所需要的各种素材,比如元件(Instance)或输入输出端点(pin)等。 图标栏 图标栏内的所有命令都可以在菜单栏实现,图标栏提供使用频率较高的一些

多按键设计电路

5个IO口最多能扫描多少个按键? 简介:在做项目(工程)的时候,我们经常要用到比较多的按键,而且IO资源紧张,于是我们就想方设法地在别的模块中节省IO口,好不容易挤出一两个IO口,却发现仍然不够用,实在没办法了就添加一个IC来扫键。一个IC虽然价 ... 在做项目(工程)的时候,我们经常要用到比较多的按键,而且IO资源紧张,于是我们就想方设法地在别的模块中节省IO口,好不容易挤出一两个IO口,却发现仍然不够用,实在没办法了就添加一个IC来扫键。一个IC虽然价格不高,但对于大批量生产而且产品利润低的厂家来说,这是一笔不菲的开支! 那,我们能不能想到比较好的扫键方法:用最少的IO口,扫最多的键?可以吗? 举个例:给出5个IO口,能扫多少键?有人说是2*3=6个,如图一: 图一 对,大部分技术参考书都这么做,我们也经常这样做:用3个IO口作行扫描,2个IO作列检测(为方便描述,我们约定:设置某一IO口输出为“0”――称其为“扫某IO

口”)。用行线输出扫键码,列线检测是否有按键的查询方法进行扫键。扫键流程:在行线依次输出011,101,110扫键值,行线每输出一个扫键值,列线检测一次。当列线检测到有按键时,结合输出的扫键值可以判断相应的按键。 但是,5个IO真的只能扫6个键吗?有人说可以扫9个,很聪明!利用行IO与地衍生3个键(要注意上拉电阻),如图二: 图二 扫键流程:先检测3个行IO口,对K1’,K2’,K3’进行扫键,之后如上述2*3扫键流程。5个IO口能扫9个键,够厉害吧,足足比6个键多了1/2! 动动脑,还能不能再多扫几个?就几个?一个也行!好,再想一下,硬是被逼出来了!如图三:

简单逻辑电路设计与仿真

VHDL与集成电路CAD 实验四十二简单逻辑电路设计与仿真 验项目名称:简单逻辑电路设计与仿真 实验项目性质:普通实验 所属课程名称:VHDL与集成电路CAD 实验计划学时:2学时 一.实验目的 1.学习并掌握MAX+PLUSⅡ的基本操作; 2.学习在MAX+PLUSⅡ下设计简单逻辑电路与功能仿真的方法。 二.实验要求 1.MAX+PLUSⅡ使用的相关内容; 2.阅读并熟悉本次实验的内容; 3.用图形输入方式完成电路设计; 4.分析器件的延时特性。 三.实验主要仪器设备和材料 1.PC机。 2.MAX+PLUSⅡ软件。 四.实验内容及参考实验步骤 1.用D触发器设计一个4进制加法计数器并进行功能仿真。 (1)开机,进入MAX+PLUSⅡ系统。 (2)点击File菜单Project子菜单之Name项,出现Project Name 对话框。为当 前的实验选择恰当的路径并创建项目名称(注意MAX+PLUSⅡ不识别中文 路径)。 (3)点击File菜单之New项,出现对话框,选择Graphic Editor File输入方式。 出现图形编辑窗口(注意界面发生了一定变化)。 (4)双击空白编辑区,出现Enter Symbol 对话框(或点击Symbol 菜单Enter Symbol项)从Symbol Libraries项中选择mf子目录(双击),然后在 Symbol File 中选择7474元件(双D触发器);在prim子目录中选择电源 vcc、输入脚input 和输出引脚output。(或直接在Symbol Name 中输入所 需元件的名称回车亦可)。 (5)在图形编辑窗口中的左侧点击连线按钮(draws a horizontal or vertical line), 并完成对电路的连线。各元件布置在合适的位置上(参考电路如图1)。 (6)在引脚的PIN_NAME处左键双击使之变黑,键入引脚名称。 (7)点击File菜单Project子菜单之set project to current file,也可点击工具栏中

扫描式矩阵键盘课程设计

扫描式矩阵键盘课程设 计 Company number【1089WT-1898YT-1W8CB-9UUT-92108】

4X4扫描式矩阵键盘课程设计课程设计名称: 4_4扫描式矩阵键盘设计 姓名: DUKE 班级:电子1008班 学号: 10086 成绩: 日期: 2014年1月6日 摘要 随着21世纪的到来,电子信息行业将是人类社会的高科技行业之一,式设施现代化的基础,也是人类通往科技巅峰的直通路。电子行业的发展从长远来看很重要,但最主要的还是科技问题。 矩阵式键盘提高效率进行按键操作管理有效方法,它可以提高系统准确性,有利于资源的节约,降低对操作者本身素质的要求。是它能准时、实时、高效地显示按键信息,以提高工作效率和资源利用率。 矩阵式键盘乃是当今使用最为广泛的键盘模式,该系统以N个端口连接控制N*N个按键,显示在LED数码管上。单片机控制依据这是键盘显示系统,该系统可以对不同的按键进行实时显示,其核心是单片机和键盘矩阵电路部分,主要对按键与显示电路的关系、矩阵式技术及设备系统的硬件、软件等各个部分进行实现。 4*4矩阵式键盘采用AT89C51单片机为核心,主要由矩阵式键盘电路、译码电路、显示电路等组成,软件选用C语言编程。单片机将检测到的按键信号

转换成数字量,显示于LED显示器上。该系统灵活性强,易于操作,可靠性高,将会有更广阔的开发前景。 目录 第一章:系统功能要求-------------------------------------------------------- 1.1 4*4 矩阵式键盘系统概述------------------------------------------------ 1.2 本设计任务和主要内容--------------------------------------------------- 第二章:方案论证--------------------------------------------------------------- 第三章:系统硬件电路的设计------------------------------------------------ 3.1 单片机控制系统原理----------------------------------------------------- 3.2 原理图绘制说明---------------------------------------------------------- 3.3 画出流程图---------------------------------------------------------------- 3.4 原理图绘制---------------------------------------------------------------

相关文档
最新文档