合肥工业大学模拟电子线路EDA课程设计报告

合肥工业大学模拟电子线路EDA课程设计报告
合肥工业大学模拟电子线路EDA课程设计报告

4、Protel 99 se的使用方法:

(1)在桌面上或开始菜单中找到并用鼠标双击Protel 99 se应用程

序——Client 99 se,打开Protel 99 se的主窗口。(上图)(2)执行菜单命令File中的New选项;或直接在窗口左边的一列文

件类型选项中选择。

(3)设计Sch的操作环境

在其中可以灵活的设置纸的大小、边框、图纸底色等相关选项

(4)元器件查找

点击FIND按钮,依据所需元器件在软件中所表示的型号,便可以找到所需器件,随后点击PLACE按钮便可以放置所查元器件。

(5)创建网络表

点击如图所示的Design按钮cerate netlist选项,便是生成网络表的第一步,生成表格的前提是确定绘制的原理图所有的器件名,封装号等相关数据完整。为以后生成PCB板做准备。

点击后变成了下图的Netlist Creation的界面,点OK选项便可生成网络表。

下图以测量放大器电路为例生成

的网络表

(6)创建元器件表

合工大机械设计基础作业部分答案

3 凸轮机构 1.【答】 根据形状,可分为盘形凸轮、移动凸轮和圆柱凸轮三类。 基本组成部分有凸轮、从动件和机架三个部分。 凸轮与从动件之间的接触可以通过弹簧力、重力或凹槽来实现。 2.【答】 从动件采用等速运动规律时,运动开始时,速度由零突变为一常数,运动终止时,速度由常数突变为零,因此从动件加速度及惯性力在理论上为无穷大(由于材料有弹性变形,实际上不可能达到无穷大),使机构受到强烈的冲击。这种由于惯性力无穷大突变而引起的冲击,称为刚性冲击。 从动件运动时加速度出现有限值的突然变化,产生惯性力的突变,但突变是有限的,其引起的冲击也是有限的,这种由于加速度发生有限值突变而引起的冲击称为柔性冲击。等加速等减速运动规律和简谐运动规律都会产生柔性冲击。 3.【答】应注意的问题有: 1)滚子半径:必须保证滚子半径小于理论轮廓外凸部分的最小曲率半径;在确保运动不失真的情况下,可以适当增大滚子半径,以减小凸轮与滚子之间的接触应力; 2)校核压力角:进行为了确保凸轮机构的运动性能,应对凸轮轮廓各处的压力角进行校核,检查其最大压力角是否超过许用值。如果最大压力角超过许用值,一般可以通过增加基圆半径或重新选择从动件运动规律; 3)合理选择基圆半径:凸轮的基圆半径应尽可能小些,以使所设计的凸轮机构可能紧凑,但基圆半径越小,凸轮推程轮廓越陡峻,压力角也越大,致使机构工作情况变坏。基圆半径过小,压力角就会超过许用值,使机构效率太低,甚至发生自锁。 4.【答】绘制滚子从动件凸轮轮廓时,按反转法绘制的尖顶从动件的凸轮轮廓曲线称为凸轮的理论轮廓。由于滚子从动件的中心真实反映了从动件的运动规律和受力状况,因此基圆半径和压力角应在理论轮廓上量取。

液压课程设计(理工大学)

目录 0.摘要 (1) 1.设计要求 (2) 2.负载与运动分析 (2) 2.1负载分析 (2) 2.2快进、工进和快退时间 (3) 2.3液压缸F-t图与v-t图 (3) 3.确定液压系统主要参数 (4) 3.1初选液压缸工作压力 (4) 3.2计算液压缸主要尺寸 (4) 3.3绘制液压缸工况图 (5) 4.拟定液压系统的工作原理图 (7) 4.1拟定液压系统原理图 (7) 4.2原理图分析 (8) 5.计算和选择液压件 (8) 5.1液压泵及其驱动电动机 (8) 5.2阀类元件及辅助元件的选 (10) 6.液压系统的性能验算 (10) 6.1系统压力损失验算 (10) 6.2系统发热与温升验算 (11) 7.课设总结 (12)

0.摘要 液压传动技术是机械设备中发展最快的技术之一,特别是近年来与微电子、计算技术结合,使液压技术进入了一个新的发展阶段,机、电、液、气一体是当今机械设备的发展方向。在数控加工的机械设备中已经广泛引用液压技术。作为机械制造专业的学生初步学会液压系统的设计,熟悉分析液压系统的工作原理的方法,掌握液压元件的作用与选型是十分必要的。 液压传动在国民经济的各个部门都得到了广泛的应用,但是各部门采用液压传动的出发点不尽相同:例如,工程机械、压力机械采用液压传动的主要原因是取其结构简单、输出力大;航空工业采用液压传动的主要原因取其重量轻、体积小;机床上采用液压传动的主要原因则是取其在工作过程中能无级变速,易于实现自动化,能实现换向频繁的往复运动等优点。 关键词:钻孔组合机床卧式动力滑台液压系统

1.设计要求 设计一台卧式钻孔组合机床的液压系统,要求完成如下工作循环式:快进→工进→快退→停止。机床的切削力为25000N ,工作部件的重量为9800N ,快进与快退速度均为7m/min ,工进速度为0.05m/min ,快进行程为150mm ,工进行程40mm ,加速、减速时间要求不大于0.2s ,动力平台采用平导轨,静摩擦系数为0.2,动摩擦系数为0.1 。要求活塞杆固定,油缸与工作台连接。设计该组合机床的液压传动系统。 2.负载与运动分析 2.1负载分析 (1)工作负载: T F =25000N (2)摩擦负载: 摩擦负载即为导轨的摩擦阻力 静摩擦阻力:Ffs = 0f ?G=1960N 动摩擦阻力:Ffd =d f ?G=980N (3)惯性负载:Fa = t v g G ??=500N (4)液压缸在个工作阶段的负载。 设液压缸的机械效率cm η =0.9,得出液压缸在各个工作阶段的负载和推力,如表1所示。 表1液压缸各阶段的负载和推力 工况 计算公式 外负载F/N 液压缸推力 F0= F / cm η/N 启动 F=Ffs 1960 2178 加速 F=Ffd +Fa 1480 1644 快进 F=Ffd 980 1089 工进 F=Ffd +T F 25980 28867 反向启动 F=Ffs 1960 2178 加速 F=Ffd +Fa 1480 1644 快退 F=Ffd 980 1089

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

合肥工业大学版误差理论与数据处理课后作业答案(精)

第一章绪论 1-1 测得某三角块的三个角度之和为180o00’02”,试求测量的绝对误差和相对误差 解: 绝对误差等于: 相对误差等于: 1-6 检定2.5级(即引用误差为2.5%)的全量程为l00V的电压表,发现50V刻度点的示值误差2V为最大误差,问该电表是否合格? 解: 依题意,该电压表的示值误差为 2V 由此求出该电表的引用相对误差为 2/100=2% 因为 2%<2.5% 所以,该电表合格。 1-9 多级弹导火箭的射程为10000km时,其射击偏离预定点不超过0.lkm,优秀射手能在距离50m远处准确地射中直径为2cm的靶心,试评述哪一个射击精度高? 解: 多级火箭的相对误差为: 射手的相对误差为: 多级火箭的射击精度高。 第二章误差的基本性质与处理 2-4 测量某电路电流共5次,测得数据(单位为mA为168.41,168.54,168.59,168.40,168.50。试求算术平均值及其标准差、或然误差和平均误差。 解:

2—5 在立式测长仪上测量某校对量具,重复测量5次,测得数据(单位为mm为20.0015,20.0016,20.0018,20.0015,20.0011。若测量值服从正态分布,试以99%的置信概率确定测量结果。 解: 求算术平均值 求单次测量的标准差 求算术平均值的标准差 确定测量的极限误差 因n=5 较小,算术平均值的极限误差应按t分布处理。 现自由度为:ν=n-1=4;α=1-0.99=0.01, 查 t 分布表有:ta=4.60 极限误差为 写出最后测量结果 2-8 用某仪器测量工件尺寸,已知该仪器的标准差σ=0.001mm,若要求测量的允许极限误差为 ±0.0015mm,而置信概率P为0.95时,应测量多少次? 解:根据极限误差的意义,有 根据题目给定得已知条件,有 查教材附录表3有 若n=5,v=4,α=0.05,有t=2.78,

2020年合肥工业大学机械考研初试及复试总结

XX年合肥工业大学机械考研初试及复试总结工大初试专业课考试一向很让人纠结,但我要说,其实不然!特别是现在不考简答题了,难度减小了不少,把握好历年真题命题方式其实很简单,120不难拿下。现在我简单介绍下 自由度,平面机构运动分析解析法(图解法基本不用看),凸轮,齿轮,轮系,每年必考,考得不难,这些都是必须拿下得分。第三章,第八章着来年过年都考了一题,其他几章间歇考,不过都要看,像第九章特别繁的计算就不用看了,不会考的,一般考运动副力的方向标注。第八章机构的组合方式框图是重点。课后习题和真题很重要!!!其他可以在搞一本考研辅导书做做。大家细心研究,真题要总结规律和题型,课后习题不用每道都会做,那些特别难的也不会考。好了,说多了都是废话,大家好好复习。我和几个学长学姐会经常去看看,能帮忙的我们一定尽力。作为过来人我也深深体会考研不容易。 合工大机械考研不是很难,综合性价比还是很高的,也是老牌名校。在合肥找工作绝对是没问题的,就是地理位置比一线城市差些,但分数线今年要比南京,上海那边低很多。合肥近几年发展也很快,欢迎大家报考。 我是跨专业考的,本科工业设计,学校只是二本,跨专业考机械。貌似其他和工大同等水平的院校都比较有偏见,但工大要好很多,

只要你分数够,工大就敢收你,不过跨度太大的话我也不敢保证。现在已经顺利录取了,而且拿了一等奖学金。 祝大家考研顺利!坚持到底! 今天去工大照了所有录取的名单,在手机里不太好弄。有空再陆续上传。 先说听力,八点开始,听往届的学长说,声音比较杂,但今年亲身体验没有,很清楚,难度不高于四级听力,题型和四级前25道一样,总共也就25题。 然后隔半小时专业课笔试,两个半小时,做快点时间够了,三门课,这个都知道,题量有点大,但是难题少,像加工工序安排比较难。另外提醒一点,专业课答题纸是白纸,自己安排答题结构,选择题有的选项是a b c,有的是123,有的是ABCD,不统一。 题型每年都有变化,我说说今年的情况: 1.选择,差不多10道,不难。 2.简答,有三个。

EDA课程设计报告资料

课程设计 设计题目: 学生姓名: 学号: 专业班级: 指导教师: 2015年月日

设计 题目成绩 课 程 设 计 主 要 内 容 指 导 教 师 评 语 签名:20 年月日

设计题目:测量放大器电路原理图和PCB板设计 一、实验目的 1.了解学习Protel 99SE的目的与意义; 2.掌握Protel 99SE绘制电路原理图方法与技巧; 3.掌握PCB设计方法与技巧。 二、实验要求 1.利用Protel 99SE绘制一张电路图; 2.对绘制好的电路图进行ERC检查; 3.生成网络表; 4.生成元件列表; 5.利用Protel 99SE完成对应的双面印刷电路板设计。 三、功率放大器设计 实验原理图如下图所示: 图1

四、protel制图 4.1设计电路原理图 1.电路原理图 电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。电路原理图的设计一般有如下步骤: (1)设置原理图设计环境; (2)放置元件; (3)原理图布线; (4)编辑和调整; (5)检查原理图; (6)生成网络表。 2.设计印刷电路板 印刷电路板设计是从电路原理图变成一个具体产品的必经之路,因此,印刷电路板设计是电路设计中最重要、最关键的一步。通常,印刷电路板设计的具体步骤如下: (1)规划电路板; (2)设置参数; (3)装入网络表; (4)元器件布局; (5)自动布线; (6)手工调整。 4.2 绘制测量放大器电路原理图 原理图设计最基本的要求是正确性,其次是布局合理,最后是在正确性和布局合理的前提下力求美观。根据以上所述的电路原理图设计步骤,两级放大器电路原理图设计过程如下: 1.启动原理图设计服务器 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。如图2

EDA课程设计报告

湖北职业技术学院《EDA技术》课程设计报告 题目动态输出4位十进制频率计的设计所在学院电子信息工程学院 专业班级电信08304 学生姓名马强 学号08024839 指导教师王芳 完成日期2010年11月18 日

目录 一、概述 (3) 二、设计正文 (4) (一)设计目的 (4) (二)设计实现 (4) 1、端口说明 (4) 2、Cnt10模块说明 (5) 3、Tctl模块说明 (6) 4、锁存器reg16模块说明 (8) 5、Scan_led模块说明 (9) 6、顶层文件仿真 (10) 7、硬件下载 (11) 三、总结 (13) 四、感言 (14) 五、参考文献 (15)

概述 此次设计的主要目的是学习掌握频率计的设计方法;掌握动态扫描输出电路的实现方法;学习较复杂的数字系统设计方法。通过单位时间(1秒)内频率的计数来实现频率计的设计。此设计主要用四位十进制计数器,所以频率计数范围为100~9999Hz。然后锁存防止闪烁显示,最后由译码扫描显示电路输出到数码管进行显示输出。并且下载后会有一秒钟的延时后才会显示输出所计频率输出。设计下载后能够进行仿真频率的计数和静态显示,但是分频的设计程序有所缺陷导致长时间显示后会有1Hz的抖动。通过这次的设计能够更清楚的理解VHDL程序的描述语言,进行简单程序的编写和仿真。

动态输出4位十进制频率计的设计 一、目的 1.学习掌握频率计的设计方法。 2.掌握动态扫描输出电路的实现方法。 3.学习较复杂的数字系统设计方法 二、设计实现 4位十进制频率计外部接口如图1所示,顶层文件如图2所示,包含4中模块;Tctl、reg16、scan_led和4个cnt10。 (1)端口说明 F1Hz:给Tctl模块提供1Hz的频率输入。 Fin:被测频率输入。 scan_led:给scan_led模块提供扫描输入频率输入。 bt[1..0]:片选信号输出。 sg[6..0]:译码信号输出。 cout:进位输出。

合肥工业大学机械优化设计

合肥工业大学 《机械优化设计》课程实践研究报告 班级: 学号: 姓名: 授课老师:王卫荣 日期: 2014年 4 月 19 日

目录 1、λ=0.618的证明、一维搜索程序作业; 2、单位矩阵程序作业; 3、连杆机构问题+自行选择小型机械设计问题或其他工程优化问题; (1)分析优化对象,根据设计问题的要求,选择设计变量,确立约束条件,建立目标函数,建立优化设计的数学模型并编制问题程序; (2)选择适当的优化方法,简述方法原理,进行优化计算;(3)进行结果分析,并加以说明。 4、写出课程实践心得体会,附列程序文本。 5、为响应学校2014年度教学工作会议的改革要求,探索新的课程考核评价方法,特探索性设立一开放式考核项目,占总成绩的5%。 试用您自己认为合适的方式(书面)表达您在本门课程学习方面的努力、进步与收获。(考评将重点关注您的独创性、简洁性与可验证性.

1.λ=0.618的证明、一维搜索程序作业; 证明:0.618法要求插入点α1、α 2 的位置相对于区间 [a,b] 两端点具有对称性,即 已知a1=a2 , 要求α11=α22 由于α1=b-λ(b-a) α2=a+λ(b-a) 若使α11=α22 则有:b1-λ(b1-a1)=a2+λ(b2-a2)= a1+λ2(b1-a1) 因此: b1- a1=(λ2+λ)( b1- a1) ( b1- a1)( λ2+λ-1)=0 因为: b1!=a1 所以: λ2+λ-1=0 则有: 取方程正数解得 若保留下来的区间为 [α1,b] ,根据插入点的对称性,也能推得同样的λ的值。 其0.618法的程序框图如下:

液压系统课程设计.

测控技术基础之液压传动与控制 课程设计说明书 设计题目:液压传动与控制系统设计 半自动液压专用铣床液压系统设计 姓名:王冉 专业:机械设计制造及其自动化 班级: 1班 学号: 2010105126 指导教师:谭宗柒 2013年 6 月 6 日至 2013年 6 月27 日

半自动液压专用铣床液压系统设计 1.设计要求 设计一台用成型铣刀在加工件上加工出成型面的液压专用铣床,工作循环:手工上料——自动夹紧——工作台快进——铣削进给——工作台快退——夹具松开——手工卸料。 2.设计参数 工作台液压缸负载力(KN ):F L =2.8 夹紧液压缸负载力(KN ):F c =4.8 工作台液压缸移动件重力(KN ):G=2.8 夹紧液压缸负移动件重力(N ):G c =35 工作台快进、快退速度(m/min ):V 1=V 3=4.5 夹紧液压缸行程(mm ):L c=10 工作台工进速度(mm/min ):V 2=45 夹紧液压缸运动时间(S ):t c=1 工作台液压缸快进行程(mm ):L 1=350 导轨面静摩擦系数:μs =0.2 工作台液压缸工进行程(mm ):L 2=85 导轨面动摩擦系数:μd =0.1 工作台启动时间(S ):?t =0.5 液压传动与控制系统设计一般包括以下内容: 1、液压传动与控制系统设计基本内容: (1) 明确设计要求进行工况分析; (2) 确定液压系统主要参数; (3) 拟定液压系统原理图; (4) 计算和选择液压件; (5) 验算液压系统性能; (6) 编制技术文件。 学生应完成的工作量:(打印稿和电子版各1份) (1) 液压系统原理图1张; (2) 设计计算说明书1份。(字数:2500~3000。) 设计内容 1.负载与运动分析 1.1工作负载 1)夹紧缸 工作负载:N G F F d C C l 5.48031.0354800=?+=+=μ 由于夹紧缸的工作对于系统的整体操作的影响不是很高,所以在系统的设计计算中把夹紧缸的工作过程简化为全程的匀速直线运动,所以不考虑夹紧缸的惯性负载等一些其他的因素。 2)工作台液压缸 工作负载极为切削阻力F L =2.8KN 。

EDA课程设计报告8线-3线优先编码器

Xxxxx学院 《EDA技术》课程报告 设计题目:8线-3线优先编码器班级:应用电子1101班姓名: 学号: 指导老师: 日期:

目录 一、8-3优先编码器设计原理分析 (3) 二、8-3优先编码器模块的源程序 (3) 三、8-3优先编码器仿真结果 (4) 四、设计总结和心得体会 (5) 五、参考资料 (5)

一、8-3优先编码器设计原理分析 8-3优先编码器输入信号为din0,d in1,din2,din3,din4,din5,din6和din7,输出信号为out2、out1、out0。输入信号中din7的优先级别最低,依次类推,din0的优先级别最高。也就是说若din0输入为1(即为高电平)则无论后续的输入信号怎么样,对应的这种状态一样,如若din0输入为0(即为低电平)则看优先级仅次于din0的din1状态决定,依次类推。因为din0到din7共8中状态,可以用3位二进制编码来表示。8-3优先编码器真值表如下表所示。 表1 8-3优先编码器真值表 二、8-3优先编码器模块的源程序 8-3优先编码器由VHDL程序来实现,VHDL语言描述如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY coder IS PORT ( din : IN STD_LOGIC_VECTOR(0 TO 7);output : OUT STD_LOGIC_VECTOR(0 TO 2);EANABLE: in std_logic ); END coder; ARCHITECTURE behav OF coder IS SIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN PROCESS (din) BEGIN IF (EANABLE='0') THEN IF (din(0)='1') THEN output <= "000" ; ELSIF (din(1)='1') THEN output <= "100" ;

合肥工业大学电路分析试卷2014上A

合肥工业大学试卷(A ) 共1页,第 1 页 2014 ~2015学年第 1 学期 课程代码 0400112B 课程名称 电路分析(Ⅰ) 学分 3.5 课程性质: 必修 考试形式: 闭卷 专业班级(教学班) 2013电气、自动化、生物医学工程系 考试日期 2014.11.15 命题教师 电路教学组 系(所或教研室)主任审批签名 命题教师注意事项:1、主考教师必须于考试一周前将“试卷A ”、“试卷B ”经教研室主任审批签字后送教务科印刷。 2、请命题教师用黑色水笔工整地书写题目或用A4纸横式打印贴在试卷版芯中。 √ √ 一、填空题(每题2分,共10分)。 1、 一个实际电路是否能用集总参数电路近似,要满足如下条件:即实际电路的尺寸必须远 小于电路工作频率下的电磁波的 。 2、 某电路图有b 条支路,n 个结点,则其独立的回路电压方程有 个。 3、 换路定则是指在有界电源作用时,电感中 在换路前后值相等。 4、 叠加定理和齐次定理只适用于 电路。 5、 在正弦稳态交流电路中,n 个阻抗角相同的阻抗Z 串联后,组合阻抗的阻抗角是原来Z 的 倍。 二、简答题(每题5分,共20分) 1. 实际电源的两种模型在进行等效变化时电源数值和参考方向如何确定? 2. 在动态电路中,何谓换路定则? 3. 简述RLC 串联二阶电路的响应形式有哪些及其对应特点。 4. 请问阻抗是不是相量,为什么? 三、实验题(7分) 请列举戴维南定理两个参数测量的方法。 四、计算题(每题9分,共63分) 1、如图1中所示参考方向,已知1A 电流源输出的功率为50W ,求元件X 吸收的电功率。 2、计算图2中电路中的电流I 。 3、用网孔电流法求图3中流过Ω25.2电阻的电流I 。 4、用叠加定理的方法计算图4中的电流源上的电压U 。 5、计算图5所示电路中,已知:当Ω=6R 时,A I 2= 。试问: (1)当Ω=12R 时,I 为多少?(2)R 为多大时,它吸收的功率最大并求此最大功率。 6、图6所示电路,开关S 闭合前电路处于稳态,试求S 闭合后的)()(t u t i L C 和。 7、图7中所示电路,电容容抗未知,已知V U L 002∠= ,求L U 与C U 的相位差。 图1 10Ω 图2 图3 + U - 3Ω 图4 图 5 6Ω 图 6 1.5k Ω 200mH j1Ω 图7 S U

EDA课程设计实验报告

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级1181 学号 2 姓名肖浪

指导教师乔汇东吴德建 2013年7月2日 湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1181 学生姓名肖浪 学号 2 指导老师乔汇东吴德建

任务书下达日期2013 年6月23日 任务完成日期2013 年7月2日 《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第十八周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附:

课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

液压系统的课程设计

《现代机械工程基础实验1》(机电)之 机械工程控制基础综合实验 指导书 指导教师:董明晓逄波 山东建筑大学 机电工程学院 2013.7.4 一、过山车项目 1、过山车(Roller coaster,或又称为云霄飞车),是一种机动游乐设施,常见于游乐园和主题乐园中。过山车通常采用液压弹射器提速。弹射系统由高速液压缸、活塞式蓄能器以及大流量高速开关阀等三部分组成液压系统原理图如下:

2、过山车机械结构设计方案图 3、该方案的应用坦克仿真驾驶平台的起伏效果、混凝土搅拌机、塔式起重机、车辆驱动传动系统,液压起升平台 4过山车液压节能回收装置。液压系统设计中的节能问题主要是降低系统的功率损失,液压系统的功率损失会使系统的总效率下降、油温升高、油液变质,导致液压设备发生故障。因此,设计液压系统时必须多途径的考虑怎样降低系统的功率损失。其设计如图所示。

二.坦克系统 1、如何驱动庞然大物-坦克,主要依靠液压系统的驱动,导向,制动。机械液压双工 率流向机构,使得来自发动机的动力分两路,流向驱动轮的两侧。其行走系统 液压原理图 2、由于军事工业的需要,为了使坦克更好的适应作战环境(沟壑,险滩等路面凹凸 不平,)有时为了需要不得不从空中运输,从空中迫降,显而易见,处理好减 震已经迫在眉睫。坦克液压减震系统原理图

3、液压式减震器的结构同吸入式泵基本相似,。当履带遇到凸起的路面受到冲击时, 缸筒向上移动,活塞在内缸筒里相对往下移动。此时,活塞阀门被冲开向上,内缸筒腔内活塞下侧的油不受任何阻力地流向活塞上侧。同时,这一部分油也通过底部阀门上的小孔流入内、外缸筒之间的油腔内。这样就有效地衰减了凹凸路面对车辆的冲击负荷。而当车轮越过凸起地面往下落时,缸筒也会跟着往下运动,活塞就会相对于缸筒向上移动。当活塞向上移动时,油冲开底部的阀门流向内缸筒,同时内缸筒活塞上侧的油经活塞阀门上的小孔流向下侧。此时当油液流过小孔过程中,会受到很大的阻力,这样就产生了较好的阻尼作用,起到了减震的目的。液压减震系统机械结构图 4、设计一个减震系统,使得生鸡蛋从5米高的地方下落能够完好

EDA课程设计报告-北京科技大学分析

EDA课程设计报告 题目彩灯循环闪烁电路的仿真学院自动化 专业班级自 姓名 学号 成绩 2014年12月

1、设计目的 (3) 2、设计原理 (3) (3) 3、单元电路的设计与仿真 (3) 3.1时钟脉冲产生电路 (3) 3.2计数器电路的设计与仿真 (5) 3.3译码和显示电路的设计 (6) 4、总体电路仿真 (7) 5、总结与收获 (9)

1、设计目的 1)彩灯能够自动循环闪烁 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路输出。 2、设计原理 彩灯循环闪烁电路的工作原理采用555定时器连接成多谐振荡器产生频率产生连续可调的时钟脉冲信号,然后将时钟信号输出通过计数器接受。然后,经过八进制加法计数器的计数实现循环功能。最后,通过译码器译码实现循环灯亮。 该电路主要分为三个模块,多谢振荡器模块、八进制加法计数器电路模块、译码器与彩灯电路模块。其结构框图如图1所示。 图1 彩灯循环闪烁电路的设计框图 3、单元电路的设计与仿真 3.1时钟脉冲产生电路 时钟脉冲产生电路由555定时器和外接元件R1、R2、R3、C1和C2构成多谐振荡器。管脚THR与管脚TRI直接相连。图2为,产生电路模块。电路没有稳态,仅存在两个暂稳态,电路亦不需要外加触发信号。利用电源通过R1、R2向C1充电,以及C1通过R2、R3向放电端DIS放电,使电路产生震荡。输出矩形波,为计数器提供脉冲源。其管脚2的电容充放电波形(黄色线条)和管脚3时钟脉冲输出波形(红色线条)如图3所示。

图2 时钟脉冲产生电路的仿真图 图3 管脚2、3的输出波形

3.2计数器电路的设计与仿真 本文的彩灯电路选用74LS160N-集成10进制同步加法计数器。74LS160N具有异步清零和同步置数的功能。为了实现8盏灯循环闪烁,电路采用异步反馈清零法获得8进制计数器。如图4所示,当Q D Q C Q B Q A输出1000时,U4A输出一个低电平到CLR,将计数器清零,回到0000状态。 图4 8进制计数器仿真图 确认电路连接无误后,单击RUN,开始仿真。结果如图4,通过7段数码管看到有0-7共8个有效状态。图5即计数过程。

生产实习报告-合工大-机械设计制造及其自动化

生产实习报告 学院名称:机械工程学院 专业班级:机械设计制造及其自动化2014-6班姓名学号: 指导教师:王玉琳卫道柱陈甦欣王道明实习日期:2017年6月19日——7月7日

目录 一、生产实习的目的 (3) 二、生产实习的基本要求 (3) 三、生产实习的时间、地点和内容 (4) 1、生产实习动员大会 (4) 2、生产实习讲座1——实习安全知识 (5) 3、安徽正远包装科技有限公司 (6) 4、生产实习讲座2——汽车传动系基础知识 (9) 5、安徽叉车集团有限责任公司 (11) 6、合肥华凌股份有限公司 (13) 7、生产实习讲座3——磁变流技术的研究、发展与应用 (16) 8、安徽安凯福田曙光车桥有限公司 (17) 9、安徽安凯汽车股份有限公司 (19) 10、合肥工业大学机械基础实验室 (22) 11、合肥长源液压股份有限公司 (24) 12、安徽巨一自动化装备有限公司 (27) 13、撰写《实习报告》,答辩 (29) 三、生产实习总结 (30) 四、实习思考题 (32) 五、你对本次生产实习的意见以及对本专业今后生产实习的建议 (43)

一、生产实习的目的 生产实习是本科教学计划中非常重要的一个实践性教学环节,其目的与任务如下: (1)使我们了解和掌握基本的生产知识,验证、巩固和丰富已经学过的课程内容,为后续专业课程的学习打下基础。 (2)让我们了解本专业范围现代企业的生产组织形式、管理模式、先进的生产设备和先进的制造技术。 (3)培养我们用工程技术的观点和方法去研究问题、分析问题、解决问题。(4)训练我们从事专业技术工作及管理工作所必须的各种基本技能和实践动手能力。 (5)培养我们热爱劳动、不怕苦、不怕累的工作作风。 二、生产实习的基本要求 (1)了解自动化生产与装配线; (2)了解典型机构的工作原理及典型部件的装配工艺过程; (3)了解典型零件的结构特点和机械加工工艺过程; (4)了解典型零件的毛坯制造工艺及热处理工艺; (5)了解典型零件加工所需的设备、工装和量具; (6)了解企业所用的先进制造技术; (7)了解企业技术文档资料的编写方式; (8)了解企业的组织机构、生产管理情况和物流模式; (9)了解知名企业的理念和文化氛围。

液压课程设计说明书

课程设计 课程名称机电液综合设计项目 题目名称卧式半自动组合机床液压系统及其有关装置设计学生学院机电工程学院 专业班级08级机电(6)班 学号 学生姓名 指导教师 2011年12 月18 日

广东工业大学课程设计任务书 卧式半自动组合机床液压系统及其有关装置 题目名称 设计 学生学院机电工程学院 专业班级08机电6班 姓名柳展雄 学号3108000566 一、课程设计的内容 综合应用已学的课程,完成卧式半自动组合机床的液压系统的原理设计、液压系统的设计计算、液压系统元部件的选择、液压基本回路的实验验证、液压集成油路的设计、液压集成块的设计等。 二、课程设计的要求与数据 1.机床系统应实现的自动工作循环 (手工上料) →(手动启动) →工件定位(插销)→夹紧工件→动力头(工作台)快进→慢速工进→快退→停止→工件拔销→松开工件→(手工卸料)。 要求工进完了动力头无速度前冲现象。工件的定位、夹紧应保证安全可靠,加工过程中及遇意外断电时工件不应松脱,工件夹紧压力、速度应可调,工件加工过程中夹紧压力稳定。 2.工件最大夹紧力为F j;工件插销定位只要求到位,负载力小可不予计算。3.动力头快进、快退速度v1;工进速度为v2可调,加工过程中速度稳定;快进行程为L1,工进行程为L2;工件定位、夹紧行程为L3,夹紧时间t=1s。 4.运动部件总重力为G,最大切削进给力(轴向)为F t; 5.动力头能在任意位置停止,其加速或减速时间为△t;;工作台采用水平放置的平导轨,静摩擦系数为f s,动摩擦系数为f d。

设计参数表 序号 F j (N) F t (N) G (N) v1 (m/m in) v2 (mm/mi n) L1 (mm ) L2 (mm ) L3 (mm ) △t (s) f s f d 1 4 600 300 00 5500 6 30~ 1000 140 60 40 0.1 2 0.2 2 0. 1 三、课程设计应完成的工作 (一) 液压系统设计 根据设备的用途、特点和要求,利用液压传动的基本原理进行工况分析,拟定合理、完善的液压系统原理图,需要写出详细的系统工作原理,给出电磁铁动作顺序表。再经过必要的计算确定液压有关参数,然后按照所得参数选择液压元件、介质、相关设备的规格型号(或进行结构设计)、对系统有关参数进行验算等。 (二)系统基本回路的实验验证 以小组为单位设计实验验证回路,经老师确认后,由该组成员共同去液压实验室在实验台上进行实验验证。该部分说明书的撰写格式可参考液压课程实验报告,实验过程要拍一定数量的照片。 (三)液压装置结构设计 由指导老师选出其中一个小组成员的设计方案和数据,由该组成员共同完成该方案液压系统的集成块组的结构设计,尽量做到每个小组成员负责其中的一个集成块的设计。集成块之间必须考虑到相互之间的连通关系,是一个完整的液压系统的集成块。 (四)绘制工程图、编写设计说明书 1. 绘制液压系统原理图

广东工业大学eda课程设计报告

课程设计报告 课程名称 EDA课程设计 学院信息工程学院年级班别 学号 学生姓名 指导老师罗思杰 2017年12月09日

目录 一、设计目的和要求: (3) 二、EDA设计: (3) 三、硬件测试: (15) 四、设计和调试过程中遇到的问题及解决方法.. 15 五、完成课程设计后的收获或体会: (15) 六、设计参考文献: (15)

一、设计目的和要求: 1、设计目的: 通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、撰写技术报告和编制技术资料的能力,接受一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程经验。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 2、设计要求: (1)以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试; (2)熟悉掌握常用仿真开发软件,比如: Quartus II或Xilinx ISE的使用方法。 (3)能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试; (4)学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。 二、EDA设计: (1)方案比较: 1、数字电子钟设计 设计一个时钟电路,包括时钟、分钟、秒钟的显示。要求可对时钟、分钟进行预置和修改操作;可设置3组闹铃时间,时间到时给出10秒的报警声或音乐并给出灯光提示。 具体输入/输出要求如下: ① 4位LED数码显示器,分别显示“小时:分钟”或“分钟:秒”时钟;根据需要选择几个LED发光二极管。 ②3个按键,具体功能描述如下:

合肥工业大学机械复试经验

复试时间每年都在4月中下旬左右,提前十天左右通知复试,我只说机械的复试经验: 复试分为笔试和面试,笔试三门专业课综合150分,面试100分,英语翻译30分。听力30分。听力就4,6级难度,都是长短对话。笔试分为,机设,控制工程,工艺学,三门。机设有选择,计算题,改错题(机设中关于轴承安装等),控制工程有填空,计算,工艺学,填空,计算,改错(第十章改错多看看)。面试问些专业知识,所以现在可以把专业课的书翻来看看。机设专业的面试,主要问些机械设计上的知识点,呵呵,抽三题,抽到的题目自己回答了就行。英语翻译,多看看专业英语词汇。 一、关于09机制的复试重点内容: 1. 机械设计:齿轮、蜗轮蜗杆、带传动、链传动、轴、轴承 2. 加工工艺:内容很零散,50分的试卷基本把所有章节(除 了数控那章)都覆盖到了,最后是3个图形改错10分 3. 控制工程:就是考察到第六章第二节,特别是三四五章是 考试重点 二、有关面试的英语口语内容: 英语口语会考察一个常规题目(例如大学你最喜欢的运动及原 因),还会考察专业英语朗读(200词)与直接翻译,另外当然别 忘了英文自我介绍。 三、关于英语听力考察:40分的听力就是统一在教室听,没有什 么可说的,就是噪音很大,到时候听不清也不要影响后面的专业 课笔试。 四、至于面试专业课方面的内容: 就是平时有关机械的课程不一定从哪个方面拿出来问你,都是 很重要的内容,也很浅显,简单说就是最基础的知识(比如去年 考查我的是刀具的几个角和几个面、皮带传动功率的计算公式fv 或w/t、) [本帖最后由hfut01 于2010-3-15 09:24 编辑] 11年机械制造自

EDA技术课程设计报告

贵州大学 EDA技术课程设计报告 题目:BCD码加法器 院系计算机科学与技术学院 专业计科121 学号1208060061、1208060058、1208060065 学生姓名张飞宇、王红强、匡金军 指导教师夏玉勤

设计BCD码加法器 一、设计任务及要求 (1)课程设计意义: 对BCD码加法有了进一步了解; 学习了quartus II设计软件,初步了解了FPGA; (2)设计要求: 利用Verilog HDL语言,编写一个4位BCD码加法器程序,输入用八个开关分别表示两个BCD码,输出结果用数码管显示。 主要芯片:2个全加器(4008BD)、开关、数码管(2个),其他元件、门电路任选 (3)主要功能: 输入两个4位BCD码,相加结果显示在数码管上。 我组在要求的功能上添加了一些代码,使输入结果也能在数码管上显示。 (4)设计思路: 图1 简易结构图 如图1所示:A,B分别是2个输入数字,S0-S1为输出,经过BCD 加法器的运算,能实现BCD码加法功能。 (1)T=A+B,若T>10,则Z赋值为10,同时进位(2)C=1,和值低位(3)S0=T-Z,和值高位(4)S1=C。 二、基于Verilog语言的电路设计、仿真、综合 硬件及软件电路设计及描述

图2 顶层模块图 图3 RTL (1)数据的产生与输入 通过J1~J8八个单刀双掷开关在+5V和GND之间的切换来产生两个4位8421BCD码作为输入的数据,当开关打到+5V时输入数据1,打到GND时输入数据0。其中J1~J4分别为数据A3~A0,J5~J8分别为数据B3~B0,且A3~A0、B3~B0 的位权依次降低(8421)。 (2)加法电路 把上面得到的两个四位8421BCD码分别输入4008BD全加器的输入端A3~A0、B3~B0,同时CIN输入端接低电平。则S3~S0输出计算结果,COUT为

合肥工业大学数字电路习题

2010-2011学年第二学期数字电路试卷 计算机与信息学院杨萍 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数(),作为8421BCD码时,它相当于十进制数()。 2.三态门电路的输出有高电平、低电平和()3种状态。 3.TTL与非门多余的输入端应接()。 4.TTL集成JK触发器正常工作时,其和端应接()电平。 5. 已知某函数,该函数的反函数=()。 6. 如果对键盘上108个符号进行二进制编码,则至少要()位二进制数码。 7. 典型的TTL与非门电路使用的电路为电源电压为()V,其输出高电平为()V,输出低电平为()V,CMOS电路的电源电压为()V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=110时,输出应为()。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM。该ROM有()根地址线,有()根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为()位。 11. );Y3 =()。 12. 某计数器的输出波形如图1所示,该计数器是()进制计数器。13.驱动共阳极七段数码管的译码器的输出电平为()有效。二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC的最小项表达式为( ) 。 A.F(A,B,C)=∑m(0,2,4) B. (A,B,C)=∑m(3,5,6,7) C.F(A,B,C)=∑m(0,2,3,4) D. F(A,B,C)=∑m(2,4,6,7) 2.8线—3线优先编码器的输入为I0—I7,当优先级别最高的I7有效时,其输出的值是()。 A.111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有()个。 A.16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP作用下,四位数据的移位过程是()。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E1=1,E2A = E2B=0)时,地址码A2A1A0=011,则输出Y7 ~Y0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A.15 B.8 C.7 D.1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A.八 B. 五 C. 四 D. 三 10.已知某触发的特性表如下(A、B A. Q n+1=A B. C. D. Q n+1= B

相关文档
最新文档