最新数字逻辑电路教案(40节)

最新数字逻辑电路教案(40节)
最新数字逻辑电路教案(40节)

第一章 数字电路基础

新课导入:前言

电子电路根据处理信号和工作方式的不同,可分为模拟电路和数字电路两类。 模拟信号:指幅度随时间连续变化的信号。例如:速度、温度、电场等物理量通过传

感器转换后的电信号。

模拟电路:对这些信号进行传输、处理的电子电路称为模拟电子电路。主要是研究输

出与输入之间信号的大小、相位变化等。信号发生器、功率放大器、整流滤波器等都是由模拟电路组成的。其波形为:

教学过程:

§1-1 数字电路概述

一、数字信号和数字电路

数字信号:指幅度随时间不连续变化的脉冲信号。

数字电路:主要是指输出与输入之间的逻辑关系,一般不研究变化过程。如数字万用

表、数字石英电子表、声音通过扩音器也是一种数字信号。波形如下图:

数字电路的应用:数字电视、数字录像机、数字通信系统、数字电子计算机、数字控

(a)

1

1

1

1

(b)

二、数字电路的特点

数字电路中只有高电平、低电平两种状态,通常采用二进制编码,即只有1和0两个数码,用来表示脉冲信号的无有或多少。高电平3.6V用1表示,低电平0.3V用0表示。例:光盘的刻录

数字电路中的二极管、三极管都是工作在开关状态,开关的接通与断开,可以用导通和截止来实现。导通用1,截止用0表示,这种表示方法一般称为正逻辑。如果低电平对应1,高电平对应0的关系称为负逻辑。

数字电路的分析与模拟电路不同,主要是以逻辑代数为主要工具,利用真值表、逻辑函数表达式、卡诺图、波形图等。

特点:

1、数字信号易于存储、加密、压缩、传输和再现。

2、数字电路结构简单,便于集成化、系列化批量生产,成本低、使用方便。

3、可靠性高、精度高、抗干扰能力强。

4、能实现数值运算,可编程数字电路容易实现各种算法,具有较大的灵活性。

5、能实现逻辑运算和判断,便于实现各种数字控制。

三、数字电路的应用

1、信号发生器

2、数字电子仪表

3、数字家电产品

4、数字电子计算机

5、数字通信

6、工业数字控制系统

四、如何学好数字逻辑电路

1、学好基础知识

3、综合应用数字集成电路

§1-2 数制与编码

一、数制

在数字电路中,常用二进制数、八进制数和十六进制数。

1、十进制

用0~9十个数码来表示,任何一个十进制数N可以表示为:

(N)10= Σ ai×10i

式中,n为整数部分的位数,m为小数部分的位数,10为基数,10i为第i位的权,ai 为第i位的系数。

例如:十进制45.26可以表示为:

(45.26)10=4×101+5×100+2×10-1+6×10-2

2、二进制

用0和1两个码数来表示,即基数为2,任一个二进制数N可以表示为:

(N)2= Σ ai×2i

利用上式,可以将任何一个二进制数转换为十进制数。

例:(101.01)2=1×22+0×21+1×20+0×2-1+1×2-2=(5.25)10

二进制运算规则:

加法:0+0=0 0+1=1 1+0=1 1+1=10

乘法:0×0=0 0×1=0 1×0=0 1×1=1

二进制的优点:

(1)二进制的基数为2,只有两个数码0和1,便于表示两个有联系的物理状态。(2)二进制进位规则是逢二进一,运算规则简单,便于进行算术运算。

(3)采用二进制,便于逻辑电路的设计和实现。

八进制的基数是8,采用八个数码0~7,进位规则是逢八进一。可表示为

(N)8= Σ ai×8i

利用上式,可将任何一个八进制数转换为十进制数。

例:(327.24)8=3×82+2×81+7×80+2×8-1+4×8-2=(215.3125)10

4、十六进制

十六进制的基数为16,采用十六个数码0~9,A、B、C、D、E、F,用A、B、C、D、E、F分别表示10、11、12、13、14、15,进位规则是逢十六进一。任何一个十六进制数可表示为:

(N)16= Σ ai×16i

利用上式,可将任何一个十六进制数转换为十进制数。

例:(2F.EC)16=2×161+15×160+14×16-1+12×16-2=(47.921875)10

每一位十六进制,相当于4位二进制数,表1-1

二、数制转换

1、其他进制数转换为十进制数

方法是:先将数的每一位系数与对应的权相乘,再将所得乘积累加起来就可以得到该数的十进制数。

2、十进制数转换为其他进制数

整数转换方法是:采用基数除法,也叫除基取余法。

注意:得到的余数要反序排列例:

将十进制小数转换为K 进制小数,方法是:采用基数乘法,也叫乘基取整流法。 注意:得到的整数顺序排列。 例1-2 解:

3、二进制数与八进制数的相互转换 三位二进制数相当于一位八进制数。

二进制 000 001 010 011 100 101 110 111 八进制 0 1 2 3 4 5 6 7

13

6

6

33

102

====

解:二进制001 101 010 110 011 . 111 100

八进制 1 5 2 6 3 . 7 4

所以(1101010110011.1111)2=(15263.74)8

例1-4

解:八进制 3 7 6 . 2 5

二进制011 111 110 . 010 101

所以(376.25)8=(11111110.010101)2

4、二进制数与十六进制数的相互转换

二进制数与十六进制数的对应关系是:

二进制0000 0001 0010 0011 0100 0101 0110 0111

八进制0 1 2 3 4 5 6 7

二进制1000 1001 1010 1011 1100 1101 1110 1111

八进制8 9 A B C D E F

二进制转换为十六进制的方法是:以小数点为界,将二进制整数部分从低位开始,小数部分从高位开始,每4位一组,头尾不足补0。

例1-5

解:(1110101101.01011)2=(3AD.58)16

例1-6

解:(25B.3C)16=(1001011011.001111)2

三、常用编码

将十进制的0~9十个数字分别用4位二进制代码来表示,这种编码称为二—十进制编码,也称BCD码。BCD码常用的有8421码、5421码、余3码等。表1-2

1、不同进制的表示方法;

2、数制之间的转换方法。

作业:

P27 1、2、3

§1-3 基本逻辑运算

复习旧课:

二进制、八进制、十进制和十六进制的表示方法及各数制之间的转换关系。

新课导入:

逻辑代数和普通代数一样,变量都用字母A、B、C···X、Y、Z等表示。但是和普通代数不同的是逻辑变量取值只有1和0两个,只是表示两种不同的逻辑状态。逻辑代数研究变量之间的罗辑关系,没有量值的大小,其最基本的逻辑运算有三种:与运算、或运算和非运算。

一、与运算 1、电路图

右图所示开关S1和S2只要有一个 不闭合或均不闭合,灯HL 就不亮。 只有当开关S1和S2都闭合时,灯 HL 才亮。 2、真值表

用A 、B 、Y 分别表示开关S1、S2和

灯HL 的状态。

用0表示开关断开和灯灭,用1表示 开关闭合和灯亮。 3、与逻辑及与运算

与逻辑关系——指只有当一件事情的所有条件全部具备时,这件事情才发生。 表

1-4可用逻辑表达式表示为:

Y=A·B

称为与运算,与运算的规律是: 0·0=0 0·1=0 1·0=0 1·1=1 逻辑符号是:

二、或运算 1、电路图

右图所示开关S1

和S2只要有一个 闭合或两个全闭合,灯HL 就亮。 只有当开关S1和S2都不闭合时,

2、真值表

3、或逻辑及或运算

或逻辑——指当决定一件事情的所有条件中,只要具备一个或一个以上的条件,这件事情才发生。

逻辑表达式为: Y=A+B

或运算的规律是: 0+0=0 0+1=1 1+0=1 1+1=1 逻辑符号为: 三、非运算

1、电路图

当开关S 闭合时灯HL 灭,当开关S 断开时灯HL

亮。

2、真值表

3、非运算

逻辑表达式为:Y=A 非运算规律是: 0=1 1=0

四、几种常用的逻辑运算

1、与非运算: Y1=A·B

2、或非运算: Y2=A+B

3、与或非运算: Y3=AB+CD

4、异或运算: Y4=A·B+A·B

小结:与、或、非三种逻辑运算的真值表、表达式和逻辑符号。

§1-4 基本逻辑公式、定理

复习旧课:

与运算、或运算和非运算的真值表、表达式和逻辑符号。 新课导入:

逻辑代数和普通代数一样,变量都用字母A 、B 、C···X 、Y 、Z 等表示。但是和普通代数不同的是,逻辑代数研究变量之间的逻辑关系,没有量值的大小,它与普通代数虽然有相似之处,但是两者有根本的不同。逻辑代数有它本身自己的的公式和定理。 教学过程:

一、逻辑变量与逻辑函数 1、逻辑变量

——指在逻辑代数中,用英文字母表示变量。逻辑变量的取值只有0和1两个数。 2、逻辑函数

—— 一般地,如果输入逻辑变量A 、B 、C 、··取值确定之后,输出变量Y 的值也被

F

A B

与门 F =AB

F

A B

或门 F =A +B F

A

非门 F =

A

F

A B

与非门 F =

AB

F A B

或非门 F

F

A B 与或非门

C D F A B

异或门 F =

B A ⊕F

A

B

同或门 F =A ⊙B

Y=f(A,B,C,···)

若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数一定相等。

二、常量之间的关系

与运算:0·0=0 0·1=0 1·0=0 1·1=1

或运算:0+0=0 0+1=1 1+0=1 1+1=1

非运算:0=1 1=0

三、变量和常量的关系

A+0=0 A· 1=A A+1=1 A· 0=0

四、运算律

1、交换律:A· B=B· A A+B=B+A

2、结合律:(A· B)· C=A·(B· C)(A+B)+C=A+(B+C)

3、等幂律:A+A=A A· A=A

4、互补律:A· A=0 A+A=1

5、双否律:A=A

6、分配律:A·(B+C)=A· B+A· C A+(B· C)=(A+B)·(A+C)

证明:

(A+B)·(A+C)=AA+AB+AC+BC=A+AB+AC+BC

=A(1+B+C)+BC=A+BC

7、吸收律:A+AB=A A(A+B)=A

A(A+B)=AB A+AB=A+B

8、摩根定理:A· B=A+B A+B=A· B

9、冗余律:AB+AC+BC=AB+AC

AB+AC+BC=AB+AC+(A+A)BC

= AB+ABC+AC+ABC

=AB(1+C)+AC(1+B)

=AB+AC

例1-7

解:列出真值表,见P11

A+B和A· B两表达式在A、B 各种取值下是完全相同的,所以:

A+B=A· B

五、关于等式的三个规则

1、代入规则

——在任何一个逻辑等式中,如果等式两边所有出现的某个逻辑变量,都用同一个逻辑式代替,那么新等式仍然成立。

2、对偶规则

对于给定的逻辑表达式Y,如果将Y中的“·”换成“+”,“+”换成“·”;“0”换成“1”,“1”换成“0”,就得到一个新的逻辑表达式Y′,称Y′为Y的对偶式。

3、反演规则

对于任何一个逻辑表达式Y,如果将原逻辑中的“·”换成“+”,“+”换成“·”;原变量换成反变量、反变量换成原变量;“0”换成“1”,“1”换成“0”,就可以得到它的反函数Y。这种方法,叫做反演规则。

1、基本逻辑公式、定理;

2、逻辑函数的三个规则。

作业:P27 5

§1-5 逻辑函数的化简

复习旧课:

基本逻辑公式、定理。

新课导入:

一般来说,逻辑函数的表达式越简单,实现它的电路也越简单。不仅经济,而且可靠性高。而化简逻辑函数,经常用到公式化简法和卡诺图化简法。公式化简法就是用逻辑代数中的公式和定理进行化简。

教学过程:

一、标准与或表达式

一个逻辑函数表达式的各种形式不同,但逻辑功能是相同的。例:

Y=AB+BC 与或表达式

=(A+B)(B+C)或与表达式

=AB+BC 与或非表达式

=AB· BC 与非—与非表达式

=A+B· B+C 或非—或非表达式

二、逻辑函数的最简表达式

1、最简与或表达式

例如:Y=ABC+ABC+ABC+ABC=AC+AB

2、最简或与表达式

3、最简与或非表达式

例如:Y= ABC+ABC+ABC+ABC=AC+AB=(A+C)(A+B)=AC+AB

4、最简与非—与非表达式

例如:Y= ABC+ABC+ABC+ABC=AC+AB=AC · AB

5、最简或非—或非表达式

例如:Y= ABC+ABC+ABC+ABC=AC+AB=A+C + A+B

三、逻辑函数的公式化简法

1、并项法

利用公式A+A=1,将两项合并为一项,并消除一个变量。

例:Y=ABC+ABC+AC=AC(B+B)+AC=AC+AC=C(A+A)=C 2、配项法

(1)利用A+A=A,为某项配上其可能合并的项。

例:Y=ABC+ABC+ABC+ABC=ABC+ABC+ABC+ABC+ABC+ABC =AB+AC+BC

(2)利用B=(A+A)B,为某项配上其所缺的变量,以便化简。例:Y=AB+AB+BC+BC=AB+AB(C+C)+BC(A+A)+BC =AB+ABC+ABC+ABC+ABC+BC

=AB(1+C)+BC(1+A)+AC(B+B)

=AB+BC+AC

3、吸收法

(1)利用公式A+AB=A+B,消除多余变量。

例:Y=AB+AC+BC=A(B+C)+BC=ABC+BC=A+BC

例:Y=AB+ABC+ABCDE=AB(1+C+CDE)=AB

4、消除冗余法

利用公式AB+AC+BC=AB+AC,将冗余项BC消除。例:Y=AB+BC+AC+ACDE=AB+BC+AC=AB+BC

小结:

1、逻辑函数的公式化简法。

2、作业:

P27 6

§ 1-6 逻辑函数的表示方法

1、利用公式对逻辑函数进行化简;

2、卡诺图的特点及性质;

3、利用卡诺图对逻辑函数进行化简。

新课导入:

前面所学可知,根据逻辑函数的不同特点和具体情况,可用真值表、表达式、卡诺图、逻辑图和波形图等五种方法来表示。真值表比较容易掌握,表达式有不同的形式,卡诺图比较直观,逻辑图是运算符号所组成的,而波形图是表示电平变化的图形。教学过程:

一、逻辑函数的表示方法

1、逻辑函数的真值表表示法

——真值表是把输入逻辑变量的各种可能取值和对应的逻辑函数值排列在一起组成的表格。

真值表的列写方法是:n个输入变量有2n个取值。

例1-15

解:

由表可知,当两个变量取值不同时为1,否则为0,此函数为异或函数。

2、逻辑函数的表达式表示法

——把输出逻辑变量表示为输入逻辑变量的与、或、非运算组合的表达形式。

例1-16

解:根据题意可以知道,只有当AB 取值为期10时,输出Y 为1。

因此可以写出输出函数表达式:Y=AB (2)、由真值表写表达式 例1-17

解:由表可知,对于逻辑输入变量A 、B 、C 只有三组取值使逻辑函数Y 为1。

其逻辑表达式为:Y=ABC+ABC+ABC 3、逻辑函数的卡诺图表示法 例1-17的卡诺图

4、逻辑函数的逻辑图表示法(图1-16)

5、逻辑函数的波形图表示法

二、逻辑函数表示方法之间的转换 1、由真值表到逻辑图的转换

F

D C

A B

(1) 根据真值表写出函数的表达式,或者画出函数的卡诺图。

(2) 用公式化简法或卡诺图化简法进行化简,求出函数的最简表达式。‘ (3) 根据函数的最简表达式画出逻辑图。 例1-18

解:(1)根据题意可以列出真值表,如下表所示。由真值表可以写出函数表达式:

Y=ABC+ABC+ABC+ABC 根据真值表可以画出函数的卡诺图 00 01 11 10 0 1

(2)卡诺图化简,合并函数最小项,得到函数最简与或表达式为: Y=AB+AC+BC (3)画逻辑图,如下图所示:

2、由逻辑图到真值表的转换

步骤是;

(1)用逐级推导法,写出输出函数的表达式。

(2)进行化简,求出最简与或表达式。

(3)将变量的各种可能取值组合代入函数表达式进行运算,并列出函数的真值表。例1-19

解:(1)写出函数表达式:

Y=AB·BC

(2)对函数进行化简,写出最简与或表达式

Y=AB·BC=AB+BC

(4)代入变量的各种可能取值组合进行计算,列出真值表,如下表:

小结:1、逻辑函数的五种表示方法;作业:

2、逻辑函数表示方法之间的转换。P28 8

第二章逻辑门电路

§ 2-1 分立元件门电路

复习旧课:

与、或、非三种基本逻辑运算的真值表、逻辑表达式、逻辑符号。

新课导入:

在数字电路中,任何复杂的逻辑电路都是由与门、或门和非门等基本逻辑门电路

组合逻辑电路的分析

组合逻辑电路的分析(大题)一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。

5.评价电路性能。三.思路总结: 组合逻辑 电路逻辑表达式最简表达式真值表逻辑功能化简 变换 四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。

3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。 五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z1、Z2、Z3和Y的逻辑表达式为:

基本逻辑门电路1教案

题目:模块六数字电路的基本知识 第二节基本逻辑门 教学目的: 1、掌握与门、或门、非门的逻辑功能及逻辑符号; 2、掌握基本逻辑运算、逻辑函数的表示方法; 3、掌握三种基本的逻辑电路。 重点与难点:重点:基本逻辑关系:“与”关系、“或”关系、“非”关系 难点:基本逻辑门电路的工作原理及其逻辑功能 教学方法: 1、讲授法 2、演示法 组织教学: 1、检查出勤 2、纪律教育 课时安排: 2课时 教学过程(教学步骤、内容等) 模块六数字电路的基本知识 复习回顾: 1、什么叫模拟电路?什么叫数字电路? 2、常用的数制有哪几种?(要会换算) 导入新课: 数字电路为什么又叫逻辑电路?因为数字电路不仅能进行数字运算,而且还能进行逻辑推理运算,所以又叫数字逻辑电路,简称逻辑电路。 定义:所谓逻辑电路是指在该电路中,其输出状态(高、低电平)由一个或多个输入状态(高、低电平)来决定。 数字电路的基本单元是基本逻辑电路,它们反映的是事物的基本逻辑关系。 什么是门? 新课讲解: 基本逻辑门 三种基本逻辑关系 一、“与”逻辑 1、定义:如果决定某事物成立(或发生)的诸原因(或条件)都具备,事件才发生,而只要其中一个条件不具备,事物就不能发生,这种关系称为“与”关系。

2、示例:两个串联的开关控制一盏电灯。 A B 3、“与”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“0”出“0”,全“1”出“1” 5、逻辑符号:二、“或”逻辑 1、定义:A 、B 等多个条件中,只要具备一个条件,事件就会发生,只有所有条件均不具备的时候,事件才不发生,这种因果关系称为“或”逻辑。 2、示例:两个并联的开关控制一盏电灯。 A 3、“或”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“1”出“1”,全“0”出“0” 5、逻辑符号:三、“非”逻辑 1、定义:决定事件结果的条件只有一个A ,A 存在,事件Y 不发生,A 不存在,事件Y 发生,这种因果关系叫做“非”逻辑。 R

《简单的逻辑电路》教学设计

《简单的逻辑电路》教学设计 陶号专 一、教学目标 1.知识与技能 (1)知道三种门电路的逻辑关系、符号及真值表; (2)会用真值表表示一些简单的逻辑关系; (3)会分析、设计一些简单的逻辑电路。 2.过程与方法 (1)通过实例与实验,理解“与”、“或”、“非”逻辑电路中结果与条件的逻辑关系;(2)通过简单的逻辑电路设计,体会逻辑电路在生活中的意义。 3.情感态度与价值观 (1)体验物理知识与实践的紧密联系; (2)学生在自主探究、交流合作中获得知识,体会学习的快乐。 二、教学重、难点 重点:三种门电路的真值表及符号。 难点:数字电路的意义。 三、教学过程 一、请学生参照下表自主复习(可讨论)本节基本知识并填写下表 A B Y & A B Y ≥1 A Y 1

二、练习巩固和能力提升(学生分析回答) 例1、如图所示为逻辑电路,根据电路图完成它的真值表.其输出端从上到下排列,结果正确的是( ) A.0,0,1,1 B.0,0,1,0 C.1,0,1,0 D.0,0,0,1 答案:B 例2、下图中a、b、c表示“或门”、“与门”或者“非门”的输入信号或输出信号,下列说法中正确的是:() a b c A、若a、c为输入信号,b为输出信号,则该电路是“或门”电路 B、若a为输入信号,b为输出信号,则该电路是“非门”电路 C、若b、c为输入信号,a为输出信号,则该电路是“与门”电路 D、若a、b为输入信号,c为输出信号,则该电路是“与门”电路 引导学生归纳: 在门电路中,真值表中的“输入”、“输出”信号“0”、“1”代表的含义是输入、输出端接低电势、高电势。 电路中,沿着电流的方向电势逐渐降低,电流I通过电阻R后,电势降低“IR”。 例3、下列电路图中开关处于什么情况时,电压表有示数?

(完整word版)《数字逻辑》(第二版)

第一章 1. 什么是模拟信号什么是数字信号试举出实例。 模拟信号-----指在时间上和数值上均作连续变化的信号。例如,温度、压力、交流电压等信号。 数字信号-----指信号的变化在时间上和数值上都是断续的,阶跃式的,或 者说是离散的,这类信号有时又称为离散信号。例如,在数 字系统中的脉冲信号、开关状态等。 2. 数字逻辑电路具有哪些主要特点 数字逻辑电路具有如下主要特点: ●电路的基本工作信号是二值信号。 ●电路中的半导体器件一般都工作在开、关状态。 ●电路结构简单、功耗低、便于集成制造和系列化生产。产品价格低 廉、使用方便、通用性好。 ●由数字逻辑电路构成的数字系统工作速度快、精度高、功能强、可 靠性好。 3. 数字逻辑电路按功能可分为哪两种类型主要区别是什么 根据数字逻辑电路有无记忆功能,可分为组合逻辑电路和时序逻辑电路两类。组合逻辑电路:电路在任意时刻产生的稳定输出值仅取决于该时刻电路输入值的组合,而与电路过去的输入值无关。组合逻辑电路又可根据 输出端个数的多少进一步分为单输出和多输出组合逻辑电路。时序逻辑电路:电路在任意时刻产生的稳定输出值不仅与该时刻电路的输入值有关,而且与电路过去的输入值有关。时序逻辑电路又可根据电 路中有无统一的定时信号进一步分为同步时序逻辑电路和异 步时序逻辑电路。 4. 最简电路是否一定最佳为什么 一个最简的方案并不等于一个最佳的方案。最佳方案应满足全面的性能 指标和实际应用要求。所以,在求出一个实现预定功能的最简电路之后,往往要根据实际情况进行相应调整。 5. 把下列不同进制数写成按权展开形式。 (1) 10 (3) 8 (2) 2 (4) 16 解答(1)10 = 4×103+5×102+1×101+7×100+2×10-1+3×10-2 +9×10-3 (2)2= 1×24+1×22+1×21+1×2-2+1×2-4

数字逻辑电路习题集教学教材

数字逻辑电路习题集

第一章 数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、 166、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、 111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可)

11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题 1、十进制数74转换为8421码应当是BCD 8421)01110100(。(√) 2、二进制只可以用来表示数字,不可以用来表示文字和符号等。(╳) 3、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 4、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳)

门电路与组合逻辑电路

第七章门电路与组合逻辑电路 习题一 一、选择题 1. 三态门输出高阻状态时,是正确的说法。 A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 2. 以下电路中可以实现“线与”功能的有。 A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门 3.以下电路中常用于总线应用的有。 A.T S L门 B.O C门 C.漏极开路门 D.C M O S与非门 4.逻辑表达式Y=A B可以用实现。 A.正或门 B.正非门 C.正与门 D.负或门 5.T T L电路在正逻辑系统中,以下各种输入中相当于输入逻辑“1”。 A.悬空 B.通过电阻 2.7kΩ接电源 C.通过电阻 2.7kΩ接地 D.通过电阻510Ω接地 6.对于T T L与非门闲置输入端的处理,可以。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端 并联 7.要使T T L与非门工作在转折区,可使输入端对地外接电阻R I。 A.>R O N B.<R O F F C.R O F F<R I<R O N D.>R O F F 8.三极管作为开关使用时,要提高开关速度,可。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 9.C M O S数字集成电路与T T L数字集成电路相比突出的优点是。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 10.与C T4000系列相对应的国际通用标准型号为。 A.C T74S肖特基系列 B.C T74L S低功耗肖特基系列 C.C T74L低功耗系列 D.C T74H高速系列 二、判断题(正确打√,错误的打×) 1.TTL与非门的多余输入端可以接固定高电平。() 2.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 3.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。() 4.两输入端四与非门器件74LS00与7400的逻辑功能完全相同。() 5.CMOS或非门与TTL或非门的逻辑功能完全相同。()

高中物理第二章恒定电流11简单的逻辑电路教案新人教版选修3_1

11 简单的逻辑电路 [学科素养与目标要求] 物理观念:初步了解简单的逻辑电路及表示符号. 科学探究:通过实验理解“与”、“或”和“非”门电路在逻辑电路中的结果与条件的逻辑关系. 科学态度与责任:初步了解集成电路的发展对社会进步的意义. 一、“与”门 1.“与”逻辑关系:如果一个事件的几个条件都满足后,该事件才能发生,我们把这种关系叫做“与”逻辑关系. 2.“与”门:具有“与”逻辑关系的电路称为“与”门电路,简称“与”门. 3.符号:,其中“&”具有“与”的意思,象征着:只有A与B两个输入端都是1时,输出端才是1. 二、“或”门 1.“或”逻辑关系:如果几个条件中,只要有一个条件得到满足,某事件就会发生,这种关系叫做“或”逻辑关系. 2.“或”门:具有“或”逻辑关系的电路叫做“或”门. 3.符号:,“≥1”象征着:当1个或多于1个输入端为1时,输出端就是1. 三、“非”门 1.“非”逻辑关系:输出状态和输入状态相反的逻辑关系叫做“非”逻辑关系. 2.“非”门:具有“非”逻辑关系的电路叫做“非”门. 3.符号为,其中矩形右侧小圆可以看作数字“0”,它与数字“1”象征着:输入端为1时,输出端是0. 1.判断下列说法的正误. (1)“与”门电路中,A、B两个输入端中只要有其中一个为“1”,输出端就为“1”.(×) (2)“与”门电路中,A、B两个输入端都为“1”时,输出端才是“1”.(√) (3)“或”门电路中,A、B两个输入端有一个是“0”时,输出端就是“0”.(×) (4)“或”门电路中,A、B两个输入端有一个是“1”时,输出端就是“1”.(√) 2.下面为一逻辑门电路的真值表,该类型的逻辑门电路为________门电路.

组合逻辑电路教案

第8章组合逻辑电路 【课题】 8.1概述 【教学目的】 了解组合逻辑电路和时序逻辑电路的电路结构特点及功能特点。 【教学重点】 1.数字逻辑电路的分类和特点。 2.常用的组合逻辑电路种类。 3.会区分数字逻辑电路的类型。 【教学难点】 区分数字逻辑电路的类型。 【教学方法】 讲授法 【参考教学课时】 1课时 【教学过程】 一、复习提问 1.基本逻辑门电路有哪几种,它们的逻辑功能是什么? 2.画出与非门逻辑符号并说明其逻辑功能。 二、新授内容 1.组合逻辑电路 (1)特点:数字逻辑电路中输出信号没有反馈到输入端,因此任意时刻的输出信号状态只与当前的输入信号状态有关,而与电路原来的输出状态无关。 (2)电路组成框图:教材图8.1。 2.时序逻辑电路 (1)特点:数字逻辑电路中输出信号部分反馈到输入端,输出信号的状态不但与当前的输入信号状态有关,而且与电路原来的输出状态有关。因此,这种电路有记忆功能。 (2)电路组成框图:教材图8.2。 三、课堂小结 1.组合逻辑电路的特点。

2.时序逻辑电路的特点。 四、课堂思考 P176思考与练习题。 五、课后练习 对逻辑代数作重点复习并预习下节课的内容(8.2组合逻辑电路的分析)。 【课题】 8.2组合逻辑电路的分析 【教学目的】 掌握组合逻辑电路的分析方法和步骤。 【教学重点】 1.组合逻辑电路的分析方法和步骤。 2.会对给定的组合逻辑电路进行功能分析。 【教学难点】 对给定的组合逻辑电路作功能说明,并用文字描述。 【教学方法】 讲授法、练习法 【参考教学课时】 1课时 【教学过程】 一、复习提问 公式化简,用练习的方式进行。 二、新授内容 1.组合逻辑电路的分析步骤。 (1)根据给定的逻辑电路图,推导输出端的逻辑表达式。 (2)化简和变换 (3)列真值表 (4)分析说明 2.组合逻辑电路的分析举例 (1)老师举例讲解 (2)老师举例,学生讨论分析 例1 已知逻辑电路如图8.1所示,试分析其逻辑功能,要求写出分析过程。

门电路及组合逻辑电路复习答案

第九章 门电路及组合逻辑电路 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、最基本的三种逻辑运算是 、 、 。(与、或、非) 5、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 6、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 7、半导体二极管具有 性,可作为开关元件。(单向导电) 8、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 9、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 10、在逻辑门电路中,最基本的逻辑门是 、 和 。(与门、或门、非门) 11、与门电路和或门电路具有 个输入端和 个输出端。(多、一) 12、非门电路是 端输入、 端输出的电路。(单、单) 13、根据逻辑功能的不同特点,逻辑电路可分为两大类: 和 。(组合逻辑电路、时序逻辑电路) 14、组合逻辑电路主要是由 、 和 三种基本逻辑门电路构成的。(与门、或门、非门) 15、(1)2(10011011)(= 8)(= 16) 答:233、9B (2)16()(AE = 2)(= 8) 答:10101110、256 (3)()125(10= 2) (4)()375.13(10= 2) 答:(1)1111101(2)1101.011 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。 (√) 2、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 3、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳)

简单的逻辑电路 说课稿 教案 教学设计

简单的逻辑电路 一、教材分析 课程标准的要求是“通过实验,观察门电路的基本作用。初步了解逻辑电路的基本原理以及在自动控制中的应用。”从中可以看出:第一、这里的要求很低;第二、学习逻辑电路必须做实验。 二、教学目标 1.知识与技能 (1)知道三种门电路的逻辑关系、符号及真值表; (2)会用真值表表示一些简单的逻辑关系; (3)会分析、设计一些简单的逻辑电路。 2.过程与方法 (1)通过实例与实验,理解“与”、“或”、“非”逻辑电路中结果与条件的逻辑关系; (2)通过简单的逻辑电路设计,体会逻辑电路在生活中的意义。 3.情感态度与价值观 (1)感受数字技术对现代生活的巨大改变,关注我国集成电路以及元器件研究的发展情况; (2)体验物理知识与实践的紧密联系; (3)学生在自主探究、交流合作中获得知识,体会学习的快乐。 三、教学重点难点 重点:三种门电路的真值表及符号。 难点:数字电路的意义。 四、学情分析 学生刚学完稳恒电流及复杂电路分析,对电势等概念比较清晰,但分析复杂电路的水平有限,加上教材中本节属于对稳恒电流的补充,对后面的传感器知识起引领。故准备重点讲解“与”门、“或”门以及“非”门电路的特征、逻辑关系及表示法。 五、教学方法 实验法、讨论法 六、课前准备 门电路演示板、多媒体课件 七、课时安排1课时 八、教学过程 (一)预习检查、总结疑惑

(二)情景引入、展示目标 讲解:楼道自动控制灯。 ①白天,灯不亮。 ②没有声音,灯不亮。 ③通电,夜晚,拍手,灯亮。 师:像这样,现在很多电器中都包含了“智能”化逻辑关系,实现这些逻辑功能离不开数字信号。 请同学们举例。 生:遥控器、机器人等。 师介绍: ①模拟信号:连续变化的电压信号。②数字信号:只有两个对立的状态,高电平“1”,低电平“0”。 数字信号的“0”和“1”好比事件的“是”与“非”,而处理数字信号的电路——数字电路,就有了辨别“是”、“非”的逻辑功能。 这节课我们学习数字电路中最基本的逻辑电路——门电路。 (三)合作探究、精讲点播 1.“与”门 师:门是一种条件开关,只有当输入信号满足一定条件时,门才能被打开,才有输出信号。 <自主活动>: 某财务室的门上有两把锁,如何才能打开大门?在这个事件中体现怎么样的逻辑关系呢?(1)投影: 引导学生分析开关A、B对电路的控制作用,体会“与”逻辑关系。 (当两个条件都满足时,结果才会成立) (2)思考与讨论,让学生体会生活中的“与”逻辑关系。 师:具有“与”逻辑关系的电路称为“与”门电路,简称“与”门。 (3)引导学生把图2.10-2结果与条件的关系用表格表示。

数字逻辑实验:组合逻辑电路的设计

实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制的运算规律。 实验器材 二输入四“与非”门组件3片,型号74SL00 二输入四“异或”门组件1片,型号74SL86 六门反向器门组件1片,型号74SL04 二输入四“与”门组件1片,型号74SL08 实验内容 A:一位全加/全减法器的实现 电路做加法还是做减法是由M决定的。当M=0时做加法运算,输入信号A、B和Cin分别为加数、被加数和低位来的进位,S为和数,Co为向上位的进位;当M=1时做减法运算,输入信号A、B和Cin分别为减数、被减数和低位来的借位,S为差,Co为向上位的借位。 B:舍入与检测电路设计 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1;其他情况F2=0。

实验前准备 ▽内容A:一位全加/全减法器的实现 ①根据全加全减器功能,可得到输入输出表如下: ②由以上做出相应的卡诺图:

③于是可得其逻辑电路图: ▽内容B:舍入与检测电路设计 ①根据舍入与检测电路功能,可得到输入输出表如下: ②由上做出相应的卡诺图:

③于是可得其逻辑电路图: 实验步骤 1.按要求预先设计好逻辑电路图; 2.按照所设计的电路图接线; 3.接线后拨动开关,观察结果并记录。

实验体会 本次是第一次实验,主要了解了实验平台,同时需要我们将自己设计好的电路,用实验台上的芯片来实现。由于实验所使用的线很多,芯片的接口也多,所以一定要细心,分清楚连接芯片的输入、输出端,以免接错线。

简单的逻辑电路--获奖优质精品教案 (18)

教师教学实施方案

主题2: “或”门电 路 学生如果能根据事例能对 应上“或”门的逻辑关系,并能比 较清晰地说出来就很不错了. 另外,真值表和符号要能对应 起来. 阅读教材中“‘或’门”标题下的 内容,回答下列问题。 (1)业务员去供货商处提货,但 必须先支付货款,他可以用现金支 付或者用银联卡支付,也可用支票 支付。那么支付方式与提货之间是 什么逻辑关系? (2)我国动车实行实名制售票, 可以凭身份证上车,也可凭车票上 车。现在有一个验证机和一个验票 机,试为进站口设计一个逻辑电路 来控制栏杆的开、关。 PPT课件 口头表述 主题3: “非”门电 路 图中R1、R2为分压电阻, 以使门电路获得合适的电压,J 是应急灯开关控制继电器,可 能都要具体分析一下.否则学 生看不懂. 阅读教材中“‘非’门”内容: (1)十字路口的红绿灯之间的关 系是什么逻辑关系? (2)图中R1、R2为分压电阻,以 使门电路获得合适的电压,J是应急 灯开关控制继电器,请在虚线框内 填入需要的门电路符号。 PPT课件 口头表述 第三层级基本检测根据具体情况与部分同学交 流,掌握学生的能力情况. 全体学生独立思考,独立完成,小 组同学都完成后可交流讨论。 PPT课件 技能拓展视学生基础和课堂时间、教学 进度决定是否作要求 教师未提出要求的情况下学有余力 的学生可自主完成 PPT课件记录要点教师可在学生完成后作点评学生在相应的位置做笔记。PPT课件第四层级知识总结教师可根据实际情况决定有没 有必要总结或部分点评一下。 学生就本节所学做一个自我总结, 之后可小组交流讨论。 PPT课件 呈现感悟收获注意有代表性的收集一些学生 的体会,以便有针对性地调整 教学方法。 根据自己的感受如实填写。 根据自己的思考找出解决方案。 课外拓展介绍集成电路相关知识PPT课件

3.1组合逻辑电路的分析

第三章组合逻辑电路 基本要求: 熟练掌握组合逻辑电路的分析方法;掌握组合逻辑电路的设计方法;理解全加器、译码器、编码器、数据选择器、数据比较器的概念和功能,并掌握它们的分析与实现方法;了解组合逻辑电路中的险象 本章主要内容:组合逻辑电路的分析方法和设计方法。 本章重点: 组合逻辑电路的分析方法 组合逻辑电路的设计方法 常用逻辑部件的功能 本章难点: 组合逻辑电路的设计 一、组合逻辑电路的特点 若一个逻辑电路,在任一时刻的输出仅取决于该时刻输入变量取值组合,而与电路以前的状态无关,则电路称为组合逻辑电路(简称组合电路)。可用一组逻辑函数描述。 组合电路根据输出变量分为单输出组合逻辑电路和多输出组合逻辑电路。 注意:1.电路中不存在输出端到输入端的反馈通路。 2.电路不包含记忆元件。 3.电路的输出状态只由输入状态决定。 二、组合逻辑电路的分析方法 分析的含义:给出一个组合逻辑电路,分析它的逻辑功能。 分析的步骤: 1.根据给出的逻辑电路图,逐级推导,得到输出变量相对于

输入变量的逻辑函数。 2.对逻辑函数化简。 3.由逻辑函数列出对应的真值表。 4.由真值表判断组合电路的逻辑功能。 三、组合电路的分析举例 1、试分析图3-1所示的单输出组合逻辑电路的功能 解:(1)由G1、G2、G3各个门电路的输入输出关系,推出整个电路的表达式: Z1=ABC F=Z1+Z2 (2)对该逻辑表达式进行化简: (3)根据化简后的函数表达式,列出真值表3-1。 (4)从真值表中可以看出:当A、B、C三个输入一致时(或者全为“0”、或者全为“1”),输出才为“1”,否则输出为“0”。所以,这个组合逻辑电路具有检测“输入不一致”的功能,也称为“不一致电路”。

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

(完整版)第九章门电路及组合逻辑电路复习答案

第九章门电路及组合逻辑电路 一、填空题 1、 模拟信号的特点是在 ______ 和 ______ 上都是 __________ 变化的。(幅度、时间、连续) 2、 数字信号的特点是在 ______ 和 ______ 上都是 __________ 变化的。(幅度、时间、不连续) 3、 数字电路主要研究 ______ 与 ______ 信号之间的对应 ________ 关系。(输出、输入、逻辑) 4、 最基本的三种逻辑运算是 _______ 、 ________ 、 _________ 。(与、或、非) 5、 逻辑等式三个规则分别是 _______ 、 ________ 、 _________ 。(代入、对偶、反演) 6 逻辑函数常用的表示方法有 _________ 、 ________ 和 __________ o (真值表、表达式、卡诺图、逻 辑图、波形图五种方法任选三种即可) 7、 半导体二极管具有 ______ 性,可作为开关元件。(单向导电) 8、 半导体二极管 __________ 时,相当于短路; ______ 时,相当于开路。(导通、截止) 9、 半导体三极管作为开关元件时工作在 __________ 状态和 ___________ 状态。(饱和、截止) 10、 在逻辑门电路中,最基本的逻辑门是 _____ 、 ______ 和 ______ o (与门、或门、非门) 11、 与门电路和或门电路具有 _____ 个输入端和 _____ 个输出端。(多、一) 12、 非门电路是 ___ 端输入、 _______ 端输出的电路。(单、单) 13、 根据逻辑功能的不同特点,逻辑电路可分为两大类: _________ 和 ________ 。(组合逻辑电路、 、判断题 1、十进制数74转换为8421BC [码应当是(01110100) 8421 BCD 。 (V ) 2、 十进制转换为二进制的时候,整数部分和小数部分都要采用除 2取余法。(X ) 3、 若两个函数相等,贝尼们的真值表一定相同;反之,若两个函数的真值表完全相同,贝U 这两个 函数未必相等。(X ) 4、 证明两个函数是否相等,只要比较它们的真值表是否相同即可。 (V ) 时序逻辑电路) 14、组合逻辑电路主要是由 ____ 、 ____ 和 15、 (1) (10011011)2 ( )8 ( (2) (AE )16 ( ) 2 ( )8 (3) (125)10 ( ) 2 (4) (13.375)10 ( )2 答:(1) _三种基本逻辑门电路构成的。(与门、或门、非门) )16 答:233、9B 答:10101110 256 1111101 (2) 1101.011

门电路和组合逻辑电路

第十六章 门电路和组合逻辑电路 一 选择题 1、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 2、时序逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的 状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于 电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入 信号无关。 D 、以上均不正确。 3、数据选择器的地址输入端有2个时,最多可以有( )个数据信号 输入。 A 、1 B 、2 C 、4 D 、8 4、数据选择器的地址输入端有3个时,最多可以有( )个数据信号输入。 A 、4 B 、6 C 、8 D 、16 5、组合逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入信号无关。 D 、以上均不正确。 6、下列几种TTL 电路中,输出端可实现线与功能的电路是( )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 7、数据选择器有10个数据信号输入端时,至少得有( )个地址输入端。 A 、2 B 、3 C 、4 D 、5 8、以下哪个电路不是组合逻辑电路( )。 A 、编码器 B 、计数器 C 、译码器 D 、加法器

9、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 10、衡量集成逻辑电路优劣的因数是用它的:( ) A .增益×带宽; B .传输延迟时间×功耗; C .扇出系数×传输延迟时间; D .噪声容限×功耗。 11、以下诸论述中,唯一正确的是:( ) A .可以用OC 门构成电平变换电路; B .ECL 门电路主要用于集成度要求高的场合; C .CM0S 器件不可以和TTL 器件兼容; D .CMOS 器件的电源电压使用范围特别小,对电源的准确性要求严格. 12、集成门电路(不论是与、或、与非…等)的输入端若超过了需要,则这些多余的输入端应按哪种方式去处置才是正确的?( ) A .让它们开路; B .让它们通过电阻接最高电平(例如电源电压); C .让它们接地,或接电源的最低电平; D .让它们和使用中的输入端并接。 13、 以下表达式中符合逻辑运算法则的是( ) A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 14、 当逻辑函数有n 个变量时,共有( )个变量取值组合? A. n B. 2n C. n 2 D. 2n 15、. 逻辑函数的表示方法中具有唯一性的是( ) A .真值表 B.表达式 C.逻辑图 D.卡诺图 16、F=A B +BD+CDE+A D=( ) A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 二 填空题 1.电子电路按功能可分为 电路和 电路。 2.根据电路的结构特点及其对输入信号响应规则的不同,数字电路可分为 和 。 3.数字电路的分析方法主要用 、功能表、 、波形图。 4.数字信号是一系列时间和数值都 的信号。 5.在数字电路中有两种数字逻辑状态分别是逻辑 和逻辑 。 6.逻辑函数F=)(B A A ⊕⊕ =

数字逻辑电路试卷(附答案)

1.逻辑函数的两种标准形式分别为。 2.将2004个“1”异或起来得到的结果是(0)。 3.半导体存储器的结构主要包含三个部分,分别是(译码器)、(存储阵列)、(控制逻辑)。 4.A/D转换的四个过程是采样、保持、量化和(编码),其中采样脉冲的频率要求至少是模拟信号最高频率的(2)倍。 5.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为(5/128)v;当输入为10001000,则输出电压为(5*136/128)v。 6.就逐次逼近型和双积分型两种A/D转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换精度高。 7.(61. 5)10 == (3D.8)16 = (10010001.1000)5421BCD; 8.已知某74ls00为2输入4与非门,I OL=22mA,I OH=2mA,I IL=2mA,I IH=40μA,则其低电平输出的扇出系数N OL=(11),其高电平输出的扇出系数N OH=(50); 9.函数的最小项表达式为F=(4.5.7),最大项表达式为(0.1.2.3.6) 10. 根据对偶规则和反演规则,直接写出的对偶式和反函数, Fd =(),=(); 11. 12.已知X=(-17),则X的8位二进制原码为(10001001),其8位二进制补码为(11110111); 13.T' 触发器的次态方程是(Qn+1 = ~Qn); 14.D触发器的次态方程是(); 15.根据毛刺的不同极性,可以将逻辑险象分为0型险象和1型险象,对于一个逻辑表达式,若在给定其它变量适当的逻辑值后,出现F= ()的情形,则存在1型险象;

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

第20章习题2-门电路和组合逻辑电路

20章 组合电路 20-0XX 选择与填空题 20-1XX 画简题 20-2XX 画图题 20-3XX 分析题 20-XX 设计题 十二、[共8分]两个输入端的与门、 或门和与非门的输入波形如图 12 所示, 试画出其输出信号的波形。 解: 设与门的输出为F 1, 或门的输出为F 2,与非门的输出为F 3,根据逻辑关系其输出波形如图所示。 20-0XX 选择与填空题 20-001试说明能否将与非门、或非门、异或门当做反相器使用?如果可以,其他输入端应如何连接? 答案 与非门当反相器使用时,把多余输入端接高电平 或非门当反相器使用时,把多余输入端接低电平 A B F 1F 2F 3 (a) (b)

异或门当反相器使用时,把多余输入端接高电平 20-002、试比较TTL 电路和CMOS 电路的优、缺点。 答案 COMS 电路抗干扰能力强,速度快,静态损耗小,工作电压范围宽, 有取代TTL 门电路的趋势。 20-003简述二极管、三极管的开关条件。 答案 二极管:加正向电压导通,相当于开关闭合;反向电压截止,相当于 开关断开。三极管:U BE <0V 时,三极管可靠截止,相当于开关断开; i B 》I BS 时,三极管饱和,相当于开关闭合。 20-0004、同或运算关系,当两输入不相等时,其输出为1;异或运算关系,当两输入相等时,其输出为0; 20-0005、 若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为 _________,或非门的输出为___ ___,同或门的输出为__ __。 20-0006、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 20-0007、逻辑函数有四种表示方法,它们分别是( )、( )、( )和( )。 20-0008、将2004个“1”异或起来得到的结果是( )。 20-0009、是8421BCD 码的是( )。 A 、1010 B 、0101 C 、1100 D 、1101 2)、和逻辑式BC A A + 相等的是( )。 A 、ABC B 、1+B C C 、A D 、BC A + 3)、二输入端的或非门,其输入端为A 、B ,输出端为Y ,则其表达式 Y= ( )。 A 、A B B 、AB C 、B A + D 、A+B

《简单的逻辑电路》示范教案doc高中物理

《简单的逻辑电路》示范教案doc高中物理 教学目标 〔一〕知识与技能 1、明白数字电路和模拟电路的概念,了解数字电路的优点。 2、明白〝与〞门、〝或〞门、〝非〞门电路的特点、逻辑关系及表示法。 3、初步了解〝与〞门、〝或〞门、〝非〞门电路在实际咨询题中的应用 〔二〕过程与方法 突出学生自主探究、交流合作为主体的学习方式。 〔三〕情感、态度与价值观 1、感受数字技术对现代生活的庞大改变; 2、体验物理知识与实践的紧密联系; 教学重点 三种门电路的逻辑关系。 教学难点 数字信号和数字电路的意义。 教学方法 探究、讲授、讨论、练习 教学手段 声光控感应灯、投影仪、多媒体教学设备、三种门电路演示示教板、电压表等 教学过程 〔一〕引入新课 〔1〕演示:一盏奇异的灯 接通电源,灯不亮; 有声,灯不亮; 挡住光线,全场安静,灯不亮; 挡住光线,拍手,灯亮。 点评:通过演示声光控感应灯,引发学生好奇心理和探究欲望。 〔2〕教师简介: 周围的〝数字〞话题:数码产品、数字电视、DIS实验、家电等。 这些电器中都包含了〝智能〞化逻辑关系,今天我们就来学习简单的逻辑电路。 〔二〕进行新课 教师介绍: A、数字信号与模拟信号 〔1〕数字信号在变化中只有两个对立的状态:〝有〞,或者〝没有〞。而模拟信号变化那么是连续的。

〔2〕调剂收音机的音量,声音连续变化,声音信号是〝模拟〞量。 〔3〕图示数字信号和模拟信息: 点评:引导学生了解数字信号和模拟信号的不同特点。 B、数字电路逻辑电路门电路 数学信号的0和1好比是事物的〝是〞与〝非〞,而处理数字信号的电路称数字电路,因此,数字电路就有了判不〝是〞与〝非〞的逻辑功能。下面我们将学习数字电路中最差不多的逻辑电路---门电路。 1、〝与〞门 教师介绍:所谓〝门〞,确实是一种开关,在一定条件下它承诺信号通过,假如条件不满足,信号就被阻挡在〝门〞外。 教师:〔投影〕教材图2.10-2 引导学生分析开关A、B对电路的操纵作用。体会〝与〞逻辑关系。 摸索与讨论:谈谈生活中哪些事例表达了〝与〞逻辑关系。 教师指出:具有〝与〞逻辑关系的电路称为〝与〞门电路,简称〝与〞门。 符号:。

相关文档
最新文档