实验6 集成乘法器混频器实验

实验6  集成乘法器混频器实验
实验6  集成乘法器混频器实验

实验6 集成乘法器混频器实验

一、实验准备

1.做本实验时应具备的知识点:

●混频的概念

●MC1496模拟相乘器

●用模拟乘法器实现混频

2.做本实验时所用到的仪器:

●集成乘法器混频模块

●LC振荡与射随放大模块

●高频信号源

●双踪示波器

二、实验目的

1. 了解集成混频器的工作原理,掌握用MC1496来实现混频的方法;

2. 了解混频器的寄生干扰。

三、实验内容

1. 用示波器观察输入输出波形;

2. 用频率计测量混频器输入输出频率;

3. 用示波器观察输入波形为调幅波时的输出波形。

四、基本原理

混频器的功能是将载波为fs(高频)的已调波信号不失真地变换为另一载频fi (固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535-1605KHZ的已调波信号变为中心频率为465KHZ的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器,外差频率计

等。混频器的电路模型如图6-1所示。

混频器常用的非线性器件有二极管、三极管、场效应管和乘法器。本振用于产生一个等幅的高频信号,并与输入信号Us 经混频器后所产生的差频信号经带通滤波器滤出。目前,高质量的通信接收机广泛采用二极管环形混频器和由差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器,本实验采用集成模拟相乘器作混频电路实验。

图6-2是用MC1496构成的混频器,本振电压U L (频率为(8.8MHZ)从乘法器的一个输入端(10脚)输入,信号电压Vs(频率为6.3MHZ)从乘法器的另一个输入端(1脚)输入,混频后的中频(Fi=F L -Fs)信号由乘法器的输出端(6脚)输出。输出端的带通滤波器必须调谐在中频Fi 上,本实验的中频为Fi=F L -Fs=8.8MHZ-6.3MHZ=2.5MHZ 。

为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压Us 和本振电压U L 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干扰,影响输入信号的接收。

干扰是由于混频不满足线性时变工作条件而形成的,因此不可避免地会产生干扰,其中影响最大的是中频干扰和镜像干扰。

i

图6-1 混频器电路模型

五、实验步骤

1.实验准备

将集成乘法器混频模块,LC振荡器与射随放大模块插入实验箱主板,接通实验箱与所需各模块电源。

2.中频频率的观测

将LC 振荡器输出频率为8.8MHZ作为本实验的本振信号输入乘法器的一个输入端(IN1),乘法器的另一个输入端(IN2)接高频信号发生器的输出(6.3MHZ V p-p=0.4V)。用示波器观测6TP01、6TP02、6TP03、6TP04波形,用频率计测量6TP01、6TP02、6TP04的频率。并计算各频率是否符合Fi=F L-Fs。当改变高频信号源的频率时,输出中频6TP04的波形作何变化,为什么?

3.混频的综合观测

将音频调制信号为1KHz载波频率为6.3MHZ的调幅波,作为本实验的射频输入,用双踪示波器观察6TP01、6TP02、65TP03、6TP04各点波形,特别注意观察6TP02.和6TP04两点波形的包络是否一致。

六、实验报告

1.根据观测结果,绘制所需要的波形图,并作分析。

2.归纳并总结信号混频的过程。

高频电子技术实验指导书

高频电子技术 实验指导书安阳工学院电子信息与电气工程学院

目录 实验一、小信号调谐放大器 -------------------------------------- 2 实验二、通频带展宽----------------------------------------------5 实验三、LC与晶体振荡器 ---------------------------------------- 8 实验四、幅度调制与解调---------------------------------------- 18 实验五、集成乘法器混频实验 ----------------------------------- 19实验六、变容二极管调频器与相位鉴频器-------------------------22

实验一、小信号调谐放大器 一、实验目的 1)、了解谐振回路的幅频特性分析——通频带与选择性。 2)、了解信号源内阻及负载对谐振回路的影响,并掌握频带的展宽。 3)、掌握放大器的动态范围及其测试方法。 二、实验预习要求 实验前,预习教材选频网络、高频小信号放大器相应章节。 三、实验原理说明 1、小信号调谐放大器基本原理 高频小信号放大器电路是构成无线电设备的主要电路,它的作用是放大 信道中的高频小信号。为使放大信号不失真,放大器必须工作在线性范围内,例如无线电接收机中的高放电路,都是典型的高频窄带小信号放大电路。窄带放大电路中,被放大信号的频带宽度小于或远小于它的中心频率。如在调幅接收机的中放电路中,带宽为9KHz,中心频率为465KHz,相对带宽Δf/f0约为百分之几。因此,高频小信号放大电路的基本类型是选频放大电路,选频放大电路以选频器作为线性放大器的负载,或作为放大器与负载之间的匹配器。它主要由放大器与选频回路两部分构成。用于放大的有源器件可以是半导体三极管,也可以是场效应管,电子管或者是集成运算放大器。用于调谐的选频器件可以是LC谐振回路,也可以是晶体滤波器,陶瓷滤波器,LC集中滤波器,声表面波滤波器等。本实验用三极管作为放大器件,LC谐振回路作为选频器。在分析时,主要用如下参数衡量电路的技术指标:中心频率、增益、噪声系数、灵敏度、通频带与选择性。 单调谐放大电路一般采用LC回路作为选频器的放大电路,它只有一个LC 回路,调谐在一个频率上,并通过变压器耦合输出,图1-1为该电路原理图。 中心频率为f0 带宽为Δf=f2-f1 图1-1. 单调谐放大电路 为了改善调谐电路的频率特性,通常采用双调谐放大电路,其电路如图12-2所示。双调谐放大电路是由两个彼此耦合的单调谐放大回路所组成。它们的谐振C Ec 1 f 0.707 02 1 u

实验三集成混频器研究通信电路与系统实验

实验三 集成混频器的实验研究 一、实验目的 1.了解集成乘积混频器的工作原理及典型电路。 2.了解本振电压幅度和模拟乘法器的偏置电流对混频增益的影响。 3.学习利用直流负反馈改善集成混频器动态工作范围的方法。 4.观察混频器寄生通道干扰现象。 二、实验原理 当本振电压u L 和信号电压u s 皆为小信号(U Lm <<26mV ,U sm <<26mV)时,模拟乘法器的输出电压可表示为[1][4] []t t U U kT q R I u s L s L sm Lm L o )cos()cos(42 0ωωωω++-?? ? ??≈ (2-15) 式中,R L 为负载电阻,I 0为恒流源电流。 当u L 为大信号、u s 为小信号(U Lm 约为100~200mV ,U sm <<26mV)时,模拟乘法器的输出电压是多谐波的,可表示为[1][4] []2 01sin 2cos()cos()22 L o Lm sm L s L s n n I R q u U U t t n kT πωωωωπ∞ =?? ? ??≈?-++ ? ??? ??? ∑ (2-16) 其中最低的一组频率分量(n=1)为 []2 00.637cos()cos()2L o Lm sm L s L s I R q u U U t t kT ωωωω?? ≈-++ ??? (2-17) 式中,相乘因子较Lm u 为小信号时增大。 由上述讨论可知,若模拟乘法器输出端接有带通滤波器,也就是说接有中频为)(S L I ωωω-=的滤波网络作为负载,可取出所需的差频分量来实现混频。 三、实验电路说明 集成混频器的实验电路如图2-7所示。图中,晶体管VT 1与电容C 1、C 2、C 3、C 4及 L 1构成改进型电容三点式振荡电路,作为本地振荡器。晶体管VT 2和VT 3分别构成两级射随器起缓冲隔离作用。本振电压u L 从P1端口馈入,信号电压u s 从P2端口馈入。中频滤波网络为L 2、C 13、C 14构成的并联回路。VT4为缓冲隔离级。 在图2-7所示实验电路中,中频回路调谐于2MHz ,模拟乘法器及其外接元件的作用与前一个实验中的情况相似,只是R w4代替了接在MC1496P 引脚2和引脚3之间的固定反馈电阻R E 。电位器R w5用来调节乘法器的偏置电流I 5。另外,图中的P4端口是由中频回路副方输出的中频电压u I 。 四、实验仪器及设备 1.直流稳压电源 SS3323型 1台 2.数字示波器 DSO-X2012A 型 1台 3.高频信号发生器 TFG6080型 1台 4.数字万用表 DT9202型 1块 5.实验电路板 1块

混频仿真

通信电子线路实验 实验名称:混频器仿真 混频器的作用是在保持已调信号的调制规律不变的前提下,使信号的载波频率升高(上变频)或下降(下变频)到另一个频率。 一、晶体管混频器电路仿真 本实验电路为AM调幅收音机的晶体管混频电路,它由晶体管、输入信号源V1、本振信号源V2、输出回路和馈电电路等组成,中频输出465KHz的AM波。 电路特点:(1)输入回路工作在输入信号的载波频率上,而输出回路则工作在中频频率(即LC选频回路的固有谐振频率fi)。(2)输入信号幅度很小,在在输入信号的动态范围内,晶体管近似为线性工作。(3)本振信号与基极偏压Eb共同构成时变工作点。由于晶体管工作在线性时变状态,存在随U L周期变化的时变跨导g m(t)。 工作原理:输入信号与时变跨导的乘积中包含有本振与输入载波的差频项,用带通滤波器取出该项,即获得混频输出。 在混频器中,变频跨导的大小与晶体管的静态工作点、本振信号的幅度有关,通常为了使混频器的变频跨导最大(进而使变频增益最大),总是将晶体管的工作点确定在:U L=50~200mV,I EQ=0.3~1mA,而且,此时对应混频器噪声系数最小。 1、直流工作点分析 使用仿真软件中的“直流工作点分析”,测试放大器的静态直流工作点。 注:“直流工作点分析”仿真时,要将V1去掉,否则得不到正确结果。因为V1与晶体管基极之间无隔直流回路,晶体管的基极工作点受V1影响。若在V1与Q1之间有隔直流电容,则仿真时可不考虑V1的存在。 2、混频器输出信号“傅里叶分析”

选取电路节点8作为输出端,对输出信号进行“傅里叶分析”,参数设置为: 基频5KHz,谐波数为120,采用终止时间为0.001S,线性纵坐标请对测试结果进行分析。在图中指出465KHz中频信号频谱点及其它谐波成分。 注:傅里叶分析参数选取原则:频谱横坐标有效范围=基频×谐波数,所以这里须进行简单估算,确定各参数取值。 分析:图中最高频谱点在465KHZ的中频信号成分,同时电路中还有较弱的其他谐波成分。 二、模拟乘法器混频电路 模拟乘法器能够实现两个信号相乘,在其输出中会出现混频所要求的差频(ωL-ωC),然后利用滤波器取出该频率分量,即完成混频。 与晶体管混频器相比,模拟乘法器混频的优点是:输出电流频谱较纯,可以减少接收系统的干扰;允许动态范围较大的信号输入,有利于减少交调、互调干扰。 1、混频输入输出波形测试 在仿真软件中构建如下模拟乘法器混频电路,启动仿真,观察示波器显示波形,分析实验结果。

虚拟存储器管理 页面置换算法模拟实验

淮海工学院计算机工程学院实验报告书 课程名:《操作系统原理A 》 题目:虚拟存储器管理 页面置换算法模拟实验 班级:软件*** 学号:20**1228** 姓名:****

一、实验目的与要求 1.目的: 请求页式虚存管理是常用的虚拟存储管理方案之一。通过请求页式虚存管理中对页面置换算法的模拟,有助于理解虚拟存储技术的特点,并加深对请求页式虚存管理的页面调度算法的理解。 2.要求: 本实验要求使用C语言编程模拟一个拥有若干个虚页的进程在给定的若干个实页中运行、并在缺页中断发生时分别使用FIFO和LRU算法进行页面置换的情形。其中虚页的个数可以事先给定(例如10个),对这些虚页访问的页地址流(其长度可以事先给定,例如20次虚页访问)可以由程序随机产生,也可以事先保存在文件中。要求程序运行时屏幕能显示出置换过程中的状态信息并输出访问结束时的页面命中率。程序应允许通过为该进程分配不同的实页数,来比较两种置换算法的稳定性。 二、实验说明 1.设计中虚页和实页的表示 本设计利用C语言的结构体来描述虚页和实页的结构。 在虚页结构中,pn代表虚页号,因为共10个虚页,所以pn的取值范围是0—9。pfn代表实 页号,当一虚页未装入实页时,此项值为-1;当该虚页已装入某一实页时,此项值为所装入的实页 的实页号pfn。time项在FIFO算法中不使用,在LRU中用来存放对该虚页的最近访问时间。 在实页结构中中,pn代表虚页号,表示pn所代表的虚页目前正放在此实页中。pfn代表实页号, 取值范围(0—n-1)由动态指派的实页数n所决定。next是一个指向实页结构体的指针,用于多个实页以链表形式组织起来,关于实页链表的组织详见下面第4点。 2.关于缺页次数的统计 为计算命中率,需要统计在20次的虚页访问中命中的次数。为此,程序应设置一个计数器count,来统计虚页命中发生的次数。每当所访问的虚页的pfn项值不为-1,表示此虚页已被装入某实页内,此虚页被命中,count加1。最终命中率=count/20*100%。 3.LRU算法中“最近最久未用”页面的确定

20151060042-贾炜光-混频器仿真实验报告

混频器仿真实验 姓名:贾炜光 学号:20151060042 学院:信息学院 专业:通信工程 指导教师:谢汝生

一、实验目的 (1)加深对混频理论方面的理解,提高用程序实现相关信号处理的能力; (2)掌握multisim实现混频器混频的方法和步骤; (3)掌握用muitisim实现混频的设计方法和过程,为以后的设计打下良好的基础。 二.实验原理 混频器将天线上接收到的射频信号与本振产生的信号相乘,cosαcosβ=[cos(α+ β)+cos(α-β)]/2 可以这样理解,α为射频信号频率量,β为本振频率量,产生和差频。当混频的频率等于中频时,这个信号可以通过中频放大器,被放大后,进行峰值检波。检波后的信号被视频放大器进行放大,然后显示出来。由于本振电路的振荡频率随着时间变化,因此频谱分析仪在不同的时间接收的频率是不同的。 混频是指将信号从一个频率变换到另外一个频率的过程 ,其实质是频谱线性搬移的过程。在超外差接收机中 ,混频的目的是保证接收机获得较高的灵敏度 ,足够的放大量和适当的通频带 ,同时又能稳定地工作。混频电路包括三个组成部分 : 本机振荡器、非线性器件、带通滤波器。[1] 由于非线性元件( 如二极管、三极管、场效应管等) 的作用,混频过程中会产生很多的组合频率分量 : p f L ±qf S 。一般来讲 ,其中满足需要的仅仅是 f I =f L -f S 或者是f I =f S -f L 。前者产生中频的方式称为高差式混频 , 后者称为低差式混频。在这里 ,混频过程中产生的一系列组合频率分量经过带通滤波器即可以选择输出相应的中频 ,而其他的频率分量会得到抑制。

实验13 调幅发射与接收完整系统的联调

实验13 调幅发射与接收完整系统的联调 13-1 无线电通信概述 一.无线电通信系统的组成 无线电通信的主要特点是利用电磁波的空间的传播来传递信息,例如将一个地方的语言消息传送到另一个地方。这个任务是由无线电发射设备、无线电接收设备和发射天线、接收天线等来完成的。这些设备和传播的空间,就构成了通常所说的无线电通信系统,图13-1是传送语言消息的无线电系统组成图。 图13-1 发射设备是无线电系统的重要组成部分,它是将电信号变换为适应于空间传播特性的信号的一种装置。它首先要产生频率较高并且具有一定功率的振荡。因为只有频率较高的振荡才能被天线有效地辐射,还需要有一定的功率才可能在空间建立一定强度的电磁场,并传播到较远的地方去。高频功率的产生通常是利用电子管或晶体管,把直流能量转换为高频能量,这是由高频振荡器和高频功率放大器完成的。 通常是经过转换设备如话筒就是最简单的转换设备,把消息转变成电的信号,这种电信号的频率都比较低,不适于直接从天线上辐射。因此,为了传递消息,就要使高频振荡的某一个参数随着上述电信号而变化,这个过程叫做调制。在无线电发射设备中,消息是“记载”在载波上而传送出去的。 接收设备的功能和发射设备相反,它是将经信道传播后接收到的信号恢复成与发送设备输入信号相一致的一种装置。 将接收天线架设在上述电磁波传播所能到达的地方,则通过电磁感应就会在接收天线上得到高频信号的感应电动势,它加到接收设备的输入端。由于接收天线同时处在其它电台所

辐射的电磁场中,因此接收设备的首要任务是从所有信号中选择出需要的信号,而抑制不需要的信号。接收设备另一个任务是将天线上接收到的微弱信号加以放大,放大到所需要的程度。接收设备的最后一个任务是把被放大的高频信号还原为原来的调制信号,例如通过扬声器(喇叭)或耳机还原成原来的声音信号(语言或音乐)。 二.发信机的组成 主振器幅度调制器中间放大器功率放大器 调制器 话筒 图13-2 图13-2画出了调幅发信机原理方框图,在这个图中,发信机由主振器、幅度调制器、中间放大器、功率放大器和调制器组成,电源部分在图上没有画出来。 主振器是用来产生最初的高频振荡,通常振荡功率是很小的,由于整个发信机的频率稳定度由它决定,因此要求它具有准确而稳定的频率。幅度调制器是用来产生调幅波,即将调制信号调制到高频振荡频率上。中间放大器的作用是将幅度调制器输出的功率,放大到功率放大器输入端所要求的大小,功率放大器是发信机最后一级,它的主要作用是在激励信号的频率上,产生足够大的功率送到天线上去,同时滤除不需要的频率(高次谐波),以免造成对其它电台的干扰。调制器实际上就是低频放大器,它的作用是将话音或低频信号放大,供给幅度调制器进行调制所需的电压和功率。 图上各处的信号波形反映了上述各部分的工作过程。 三.接收机的组成 无线电信号的接收过程与发射过程相反,为了提高灵敏度和选择性,无线电接收设备目

混频器实验

实验二混频器仿真实验 一.无源混频器仿真实验 二极管环形混频电路 载频是f L=1kHz,调制频率为f R=100Hz,因此混频后会出现f L f R f L- f R==900Hz ,f L+ f R=1100Hz,如图所示前两个峰值。由于二级管的开关作用,还会产生组合频率,不过幅度会随次数的增加而减小,如图所示后两个峰值。 二.有源混频器仿真实验 1.三极管单平衡混频电路 直流分析 傅里叶分析 差模输出将直流分量抵消,组合频率分量也被抵消了,本振不会馈通。但是由于射频信号是非平衡的,所以射频信号带入的直流分量与本振信号相乘后产生了较大幅值的本振频率分量,并且在频谱中还是会出现少量本振信号的奇次谐波与射频相混频的频率分量,单平衡混频电路有效地抑制了高频率分量,单节点输出存在低频分量过大的问题,但使用差分放大器的双点输出能够很好地解决这个缺陷。但与无源混频器相比,出现了大量的杂波。 2.加入有源滤波器后

混频后得到上下变频分量,通过一个带通滤波器,滤除上变频以及本振频率分量,只剩下下变频。 3.吉尔伯特单元混频电路 由于射频信号差分输入,因此在输出的时候射频直流分量被抵消,本振不会馈通。由于是双差分输入,频谱较为纯净。但是由于吉尔伯特电路也是通过本振大信号作为开断信号对输出信号采样,因此也产生了本振信号的奇次谐波的分量与射频信号相混频产生的组合频率分量。

加入有源滤波器后 本电路将作为接收机电路的前端。与单平衡电路的频谱比较起来更加纯净,无用的频率分量更少,幅值更小。 思考题: 1. 吉尔伯特电路是双平衡电路,而三极管是单平衡电路,它们的区别体现在射频信号是否是平衡的,吉尔 伯特电路射频信号是平衡的,射频信号中蕴含的直流分量在输出时被抵消,因此不会产生本振信号馈通。而三极管单平衡电路产生馈通和许多组合频率分量。 当频率增加后会更加明显,因为各个频点上的幅值都会降低,区别显得更加突出。 2.如图,该二阶带通有源滤波器的截止频率在1k 与1.4k 附近正好可以滤去不需要的分量。 二阶带通有源滤波器的BW : 要想BW 变为原来的80%。只能改变 。即 变为1.92 。R8变为76.8kohm 或R7变为40.625Kohm 。 或者比值保持1.92。 01 222F F f f R R BW f R R RC π????=-?=-? ? ? ? ???? ?F f R R F f R R

操作系统实验五虚拟存储器管理

操作系统实验 实验五虚拟存储器管理 学号1115102015 姓名方茹 班级11 电子A 华侨大学电子工程系

实验五虚拟存储器管理 实验目的 1、理解虚拟存储器概念。 2、掌握分页式存储管理地址转换盒缺页中断。 实验内容与基本要求 1、模拟分页式存储管理中硬件的地址转换和产生缺页中断。 分页式虚拟存储系统是把作业信息的副本存放在磁盘上,当作业被选中时,可把作业的开始几页先装入主存且启动执行。为此,在为作业建立页表时,应说 明哪些页已在主存,哪些页尚未装入主存。作业执行 时,指令中的逻辑地址指出了参加运算的操作存放的页号和单元号,硬件的地址转 换机构按页号查页表,若该页对应标志为“ 1”,则表示该页 已在主存,这时根据关系式“绝对地址 =块号×块长 +单元号”计算出欲访问的主 存单元地址。如果块长为 2 的幂次,则可把块号作为高地址部分,把单元号作为低 地址部分,两者拼接而成绝对地址。若访问的页对 应标志为“ 0”,则表示该页不在主存,这时硬件发“缺页中断”信号, 有操作系统按该页在磁盘上的位置,把该页信息从磁盘读出装入主存后 再重新执行这条指令。设计一个“地址转换”程序来模拟硬件的地址转 换工作。当访问的页在主存时,则形成绝对地址,但不去模拟指令的执 行,而用输出转换后的地址来代替一条指令的执行。当访问的页不在主 存时,则输出“ * 该页页号”,表示产生了一次缺页中断。 2、用先进先出页面调度算法处理缺页中断。 FIFO 页面调度算法总是淘汰该作业中最先进入主存的那一页,因此可以用一个数组来表示该作业已在主存的页面。假定作业被选中时, 把开始的 m 个页面装入主存,则数组的元素可定为m 个。 实验报告内容 1、分页式存储管理和先进先出页面调度算法原理。 分页式存储管理的基本思想是把内存空间分成大小相等、位置固定

模拟乘法混频实验报告

模拟乘法混频实验报告 姓名: 学号: 班级: 日期:

模拟乘法混频 一、实验目的 1. 进一步了解集成混频器的工作原理 2. 了解混频器中的寄生干扰 二、实验原理及实验电路说明 混频器的功能是将载波为vs (高频)的已调波信号不失真地变换为另一载频(固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535~1605KHz 的已调波信号变换为中心频率为465KHz 的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器、外差频率计等。 混频器的电路模型如图1所示。 图1 混频器电路模型 混频器常用的非线性器件有二极管、三极管、场效应管和乘法器。本振用于产生一个等幅的高频信号VL ,并与输入信号 VS 经混频器后所产生的差频信号经带通滤波器滤出。目前,高质量的通信接收机广泛采用二极管环形混频器和由双差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器。本实验采用集成模拟相乘器作混频电路实验。 图2为模拟乘法器混频电路,该电路由集成模拟乘法器MC1496完成。 V s V

+12 -12 J7J8 J9 C12104 C11104 C7104 C15104 C8104 R101K R11200 R12820 R13820 R71K R14100 R153.3K R163.3K R216.8K R20510 R171k F24.5M D28.2V C16104 TH6 TH7 TH8 TH9 TP5 SIG+ 1 G N A D J 2 G N A D J 3 SIG- 4 B I A S 5 OUT+6NC 7CAR+8 NC 9CAR- 10 NC 11OUT-12 NC 13V E E 14 U1 MC1496 图2 MC1496构成的混频电路 MC1496可以采用单电源供电,也可采用双电源供电。本实验电路中采用+12V ,-8V 供电。R12(820Ω)、R13(820Ω)组成平衡电路,F2为4.5MHz 选频回路。本实验中输入信号频率为 fs =4.2MHz ,本振频率fL =8.7MHz 。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压VS 和本振电压VL 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。干扰是由于混频器不满足线性时变工作条件而形成的,因此干扰不可避免,其中影响最大的是中频干扰和镜象干扰。 三、 实验仪器与设备 高频电子线路综合实验箱; 高频信号发生器; 双踪示波器; 频率计。 四、实验步骤 1. 打开本实验单元的电源开关,观察对应的发光二极管是否点亮,熟悉电路各部分元件的作用。

混频器仿真实验报告

混频器实验(虚拟实验) 姓名:郭佩学号:04008307 (一)二极管环形混频电路 傅里叶分析 得到的频谱图为 分析:可以看出信号在900Hz和1100Hz有分量,与理论相符 (二)三极管单平衡混频电路 直流分析

傅里叶分析 一个节点的傅里叶分析的频谱图为 两个节点输出电压的差值的傅里叶分析的频谱图为:

分析:同样在1K的两侧有两个频率分量,900Hz和1100Hz 有源滤波器加入电路后 U IF的傅里叶分析的频谱图为: U out节点的傅里叶分析的频谱图为:

分析:加入滤波器后,会增加有2k和3k附近的频率分量 (三)吉尔伯特单元混频电路 直流分析 傅里叶分析 一个节点的输出电压的傅里叶分析的参数结果与相应变量的频谱图如下: 两个节点输出电压的差值的傅里叶分析的参数结果与相应变量的频谱图为:

分析:1k和3k两侧都有频率分量,有IP3失真 将有源滤波器加入电路 U IF的傅里叶分析的参数结果与相应变量的频谱图为: U out节点的傅里叶分析的参数结果与相应变量的频谱图为:

分析:有源滤波器Uout节点的傅里叶分析的频谱相对于Uif的傅里叶分析的频谱来说,其他频率分量的影响更小,而且Uout节点的输出下混频的频谱明显减小了。输出的电压幅度有一定程度的下降。 思考题: (1)比较在输入相同的本振信号与射频信号的情况下,三极管单平衡混频电路与吉尔伯特混频器两种混频器的仿真结果尤其是傅里叶分析结果的差异,分析其中的原因。若将本振信号都设为1MHz,射频频率设为200kHz,结果有何变化,分析原因。 答:没有改变信号频率时 三极管 吉尔伯特 吉尔伯特混频器没有1k、2k、3k处的频率分量,即没有本振信号的频率分量,只有混频后的频率分量。因为吉尔伯特混频器是双平衡对称电路结果,有差分平衡。 将本振信号频率和射频频率改变后:

OS实验指导四——虚拟存储器管理

OS实验指导四——虚拟存储器管理

————————————————————————————————作者:————————————————————————————————日期: 2

《操作系统》实验指导四 开课实验室:A207、A209 2015/11/23 、2015/11/24 实验类型设计 实验项目(四)虚拟存储器管理实验 实验学时 4 一、实验目的 设计一个请求页式存储管理方案,并编写模拟程序实现。 二、设备与环境 1. 硬件设备:PC机一台 2. 软件环境:安装Windows操作系统或者Linux操作系统,并安装相关的程序开发 环境,如C \C++\Java 等编程语言环境。 三、实验要求 1) 上机前认真复习页面置换算法,熟悉FIFO算法和LRU页面分配和置换算法的过程; 2) 上机时独立编程、调试程序; 3) 根据具体实验要求,完成好实验报告(包括实验的目的、内容、要求、源程序、实例运行 结果截图)。 四、实验内容 1、问题描述: 设计程序模拟FIFO和LRU页面置换算法的工作过程。假设内存中分配给每个进程的最小物理块数为m,在进程运行过程中要访问的页面个数为n,页面访问序列为P1, … ,Pn,分别利用不同的页面置换算法调度进程的页面访问序列,给出页面访问序列的置换过程,并计算每种算法缺页次数和缺页率。 2、程序具体要求如下: 编写程序用来模拟虚拟页式存储管理中的页面置换 要求: 1)快表页面固定为4块 2)从键盘输入N个页面号 3)输出每次物理块中的页面号和缺页次数,缺页率 4)实现算法选择

3、程序流程图 3、源程序参考: (1)FIFO 算法部分 #include "stdio.h" #define n 12 #define m 4 void main() { int ym[n],i,j,q,mem[m]={0},table[m][n]; char flag,f[n]; printf("请输入页面访问序列\n "); for(i =0;i

实验步骤

实验1 电容三点式LC振荡器 一、仪器、模块: ●LC振荡器模块 ●双踪示波器 ●万用表 二、实验步骤 1、按下开关3K1接通电源 2、西勒振荡电路幅频特性的测量 1)示波器接3TP02,开关3K05拨至右侧 2)开关3K01、3K02、3K03、3K04分别控制3C06(10P)、3C07(50P)、3C08(100P)、3C09(200P)是否接入电路,开关往上拨为接通,往下拨为断开。 3)按照表3-1(a)电容的变化测出与电容相对应的振荡频率和输出电压(峰一峰值VP-P),并将测量结果记于表中。 表3-1(a) 3、克拉泼振荡电路幅频特性的测量 1)示波器接3TP02,开关3K05拨至左侧。 2)按照表3-1(b)电容的变化测出与电容相对应的振荡频率和输出电压(峰一峰值VP-P),并将测量结果记于表中。 表3-1(b)

4、波段覆盖系数的测量(计算) 测量方法:根据测量的幅频特性,以输出电压最大点的频率为基准,即为一边界频率,再找出输出电压下降至1/2处的频率,即为另一边界频率,再由公式求出K。 分别计算西勒振荡电路、克拉波振荡电路的k

实验2 集成乘法器混频器实验 一、仪器、模块: ●集成乘法器混频模块 ●LC振荡与射随放大模块 ●高频信号源 ●双踪示波器 二、实验步骤 1、中频频率的观察 1)信号发生器输出频率为8.8MHZ,幅度Vp-p约为1.5V的等幅波,作为本振信号连接到6P01 2)信号发生器输出频率为6.3MHZ,幅度Vp-p=0.4V的等幅信号,作为射频信号连接到6P02 3)填下表 F L=8.8MHZ Fs=6.3MHZ 4)改变高频信号源的频率,输出中频6TP04的波形如何变化?为什么? 输入6P01的信号不变。 改变输入到6P02的信号的频率,填下表

实验四 虚拟存储器管理实验

实验四虚拟存储器管理实验 ◆实验名称:存储器管理实验 ◆仪器、设备:计算机 ◆参考资料:操作系统实验指导书 ◆实验目的: 设计一个请求页式存储管理方案,并编写模拟程序实现。 ◆实验内容: 编写程序用来模拟虚拟页式存储管理中的页面置换 要求: 1.快表页面固定为4块 2.从键盘输入N个页面号 3.输出每次物理块中的页面号和缺页次数,缺页率 ◆实验原理、数据(程序)记录: #define PAGES 4 /* 物理块数*/ #define N 16 /*最多输入的页面号*/ int pages[PAGES][2]; /*page[i][0]保存页面号,page[i][1]保存页面存留时间*/ int queue[N]; /*页面号数组*/ void initialise(void) /*------------初始化:快表和页面号数组++++++++++++++*/ { int i; for(i=0;i

电子仿真实验报告之晶体管混频

大连理工大学 本科实验报告 课程名称:电子系统仿真实验 学院(系):信息与通信工程学院 专业:电子与信息工程 班级: 学号: 学生姓名: 2014年月日

一、 实验目的和要求 使用电路分析软件,运用所学知识,设计一个晶体管混频器。要求输入频率为10MHz ,本振频率为16.485MHz 左右,输出频率为6.485MHz 。本振电路为LC 振荡电路。 二、实验原理和内容 混频电路是一种频率变换电路,是时变参量线性电路的一种典型应用。如一个振幅较大的振荡电压(使器件跨导随此频率的电压作周期变化)与幅度较小的差频或和频,完成变频作用。它是一个线性频率谱搬电路。图2.1是其组成模型框图。 中频 图2.1 本地振荡器产生稳定的振荡信号(设其频率为L f )通过晶体管混频电路和输入的高频调幅波信号(设其频率为s f ),由于晶体管的非线性特性,两个信号混合后会产生L f +s f L f -s f 频率的信号,然后通过中频滤波网络,取出L f -s f 频率的信号,调节好L f -s f 的大 小使其差为中频频率,即所需要的中频输出信号。图 2.2调幅前后的频谱图。 图2.2 本次试验本振电路采用LC 振荡电路。其等效原理图为西勒振荡电路,如图2.3所示。 本振电路 非线性器件 输入 中频滤波 输出

图2.3 混频器采用晶体混频电路,其等效电路图如图2.4。 图2.4 三、主要仪器设备 名称型号主要性能参数 电子计算机宏碁V-531,Windows 7 AMD A10-4600M 2.3GHz,2GB 内存 电路分析软件 Multisim.12 多种电路元件,多种虚拟仪 器多种分析方法 表3.1

变频器实验报告

实验一变频器的面板操作与运行 一、实验目的和要求 1. 熟悉变频器的面板操作方法。 2. 熟练变频器的功能参数设置。 3. 熟练掌握变频器的正反转、点动、频率调节方法。 4.通过变频器操作面板对电动机的启动、正反转、点动、调速控制。 二、实验仪器和用具 西门子MM420变频器、小型三相异步电动机、电气控制柜、电工工具(1套)、连接导线若干等。 三、实验内容和步骤 1.按要求接线 系统接线如图2-1所示,检查电路正确无误后, 合上主电源开关Q S。 图2-1 变频调速系统电气图 2.参数设置 (1)设定P0010=30和P0970=1,按下P键,开始复位,复位过程大约3min,这样就可保证变频器的参数回复到工厂默认值。 (2)设置电动机参数,为了使电动机与变频器相匹配,需要设置电动机参数。电动机参数设置见表2-2。电动机参数设定完成后,设P0010=0,变频器当前处于准备状态,可正常运行。 表2-2 电动机参数设置

(3)设置面板操作控制参数,见表2-3。 3.变频器运行操作 (1)变频器启动:在变频器的前操作面板上按运行键,变频器将驱动电动机升速,并运行在由P1040所设定的20Hz频率对应的560r∕min的转速上。 (2)正反转及加减速运行:电动机的转速(运行频率)及旋转方向可直接通过按前操作面板上的键∕减少键(▲/▼)来改变。 (3)点动运行:按下变频器前操作面板上的点动键,则变频器驱动电动机升速,并运行在由P1058所设置的正向点动10Hz频率值上。当松开变频器前错做面板上的点动键,则变频器将驱动电动机降速至零。这时,如果按下一变频器前操作面板上的换向键,在重复上述的点动运行操作,电动机可在变频器的驱动下反向点动运行。 (4)电动机停车:在变频器的前操作面板上按停止键,则变频器将驱动电动机降速至零。 四、实验思考 1. 怎样利用变频器操作面板对电动机进行预定时间的启动和停止? 答:P0010=30,P0970=1,变频器恢复出厂设置; P701=0,屏蔽原来端子启动功能; P2800=1,使能内部功能自由块; P2802=1,使能内部定时器; P2849=1,连接定时器启动命令; P2850=1,设定延时时间(假设1s); P2851=1,定时器延时动作方式; P0840=2852.0,连接变频器启动命令。 2. 怎样设置变频器的最大和最小运行频率? 答:P0010=30;P0970=1,按下P键(约10秒),开始复位。 一般P1080=0;电动机运行的最低频率(HZ) P1082=50;电动机运行的最高频率(HZ)。

MIX 1

实验十二 集成乘法器混频实验 MIX1 一、实验目的 1.学习混频电路的概念和原理。 2.掌握用集成模拟乘法器构成混频电路的原理。 3.掌握集成模拟乘法器MC1496用于混频电路的方法以及对干涉的测定。 二、实验仪器与设备 1.THEX-1型实验平台、集成乘法器混频实验(MIX1)、LC 与晶体振荡(本振)实验(OSC ) 2.20MHz 双踪示波器、BT5频率扫频仪、万用表 三、实验原理 (一)混频器电路模型 混频器的功能是将载波为fs (高频)的已调波信号不失真地变换为另一载频f I (固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535~1605KHz 的已调波信号变换为中心频率为465KHz 的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器、外差频率计等。 混频器的电路模型如图12-1所示。 混频器常用的的非线性器件有二极管、三极管、场 效应管和乘法器。本振用于产生一个等幅的高频信号u L , 并与输入信号u S 经混频器后所产生的差频信号经带通滤 ωI =ωL -ωS 波器滤出。目前,高质量的通信接收机广泛采用二极管环 图12-1 混频器电路模型 形混频器和由双差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器。本实验采用集成模拟乘法器作混频电路实验。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压u S 和本振电压u L 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。 干扰是由于混频不满足线性时变工作条件而形成的,因此不可避免地会产生干扰,其中影响最大的是中频干扰和镜象干扰。 (二)集成模拟乘法器的混频电路 ·? ???÷???¨ ??¨?÷ ± ?????÷u L u I u s

虚拟存储器管理实验报告

淮海工学院计算机科学系实验报告书 课程名:《操作系统》 题目:虚拟存储器管理 页面置换算法模拟实验 班级: 学号: 姓名:

一、实验目的与要求 1.目的: 请求页式虚存管理是常用的虚拟存储管理方案之一。通过请求页式虚存管理中对页面置换算法的模拟,有助于理解虚拟存储技术的特点,并加深对请求页式虚存管理的页面调度算法的理解。 2.要求: 本实验要求使用C语言编程模拟一个拥有若干个虚页的进程在给定的若干个实页中运行、并在缺页中断发生时分别使用FIFO和LRU算法进行页面置换的情形。其中虚页的个数可以事先给定(例如10个),对这些虚页访问的页地址流(其长度可以事先给定,例如20次虚页访问)可以由程序随机产生,也可以事先保存在文件中。要求程序运行时屏幕能显示出置换过程中的状态信息并输出访问结束时的页面命中率。程序应允许通过为该进程分配不同的实页数,来比较两种置换算法的稳定性。 二、实验说明 1.设计中虚页和实页的表示 本设计利用C语言的结构体来描述虚页和实页的结构。 在虚页结构中,pn代表虚页号,因为共10个虚页,所以pn的取值范围是0—9。pfn代表实页号,当一虚页未装入实页时,此项值为-1;当该虚页已装入某一实页时,此项值为所装入的实页的实页号pfn。time项在FIFO算法中不使用,在LRU中用来存放对该虚页的最近访问时间。 在实页结构中中,pn代表虚页号,表示pn所代表的虚页目前正放在此实页中。pfn代表实页号,取值范围(0—n-1)由动态指派的实页数n所决定。next是一个指向实页结构体的指针,用于多个实页以链表形式组织起来,关于实页链表的组织详见下面第4点。 2.关于缺页次数的统计 为计算命中率,需要统计在20次的虚页访问中命中的次数。为此,程序应设置一个计数器count,来统计虚页命中发生的次数。每当所访问的虚页的pfn项值不为-1,表示此虚页已被装入某实页内, 此虚页被命中,count加1。最终命中率=count/20*100%。 3.LRU算法中“最近最久未用”页面的确定 为了能找到“最近最久未用”的虚页面,程序中可引入一个时间计数器countime,每当要访问 一个虚页面时,countime的值加1,然后将所要访问的虚页的time项值设置为增值后的当前

通信电路实验报告书

通信电路实验报告书 第一部分 实验小组:第1组 姓名学号:08021135 郑超 指导教师:徐小平 完成日期:2011年4月4日

实验1 单调谐回路谐振放大器 —、实验准备 1.做本实验时应具备的知识点: ●放大器静态工作点 ●LC并联谐振回路 ●单调谐放大器幅频特性 2.做本实验时所用到的仪器: ●单调谐回路谐振放大器模块 ●双踪示波器 ●万用表 ●频率计 ●高频信号源 二、实验目的 1.熟悉电子元器件和高频电子线路实验系统; 2.掌握单调谐回路谐振放大器的基本工作原理; 3. 熟悉放大器静态工作点的测量方法; 4.熟悉放大器静态工作点和集电极负载对单调谐放大器幅频特性(包括电压增益、通频带、Q值)的影响; 5.掌握测量放大器幅频特性的方法。 三、实验内容 1.用万用表测量晶体管各点(对地)电压VB、VE、VC,并计算放大器静态工作点;2.用示波器测量单调谐放大器的幅频特性; 3.用示波器观察静态工作点对单调谐放大器幅频特性的影响; 4.用示波器观察集电极负载对单调谐放大器幅频特性的影响。 四、实验报告要求 1.对实验数据进行分析,说明静态工作点变化对单调谐放大器幅频特性的影响,并画出

相应的幅频特性。 2.对实验数据进行分析,说明集电极负载变化对单调谐放大器幅频特性的影响,并画出 相应的幅频特性。 3.总结由本实验所获得的体会。 五、实验结果记录及结论 记录:输入电压幅值:200mv 输出最大电压:1.44v 计算得出的放大倍数:7.2 调整1W01使基极直流电压为2.5v 5.4 5.5 5.6 5.7 5.8 5.9 6.0 6.1 6.2 6.3 6.4 6.5 6.6 6.7 6.8 6.9 7.0 7.1 输入信 号频率 f(MHZ) 输出电 365 400 461 523 602 715 824 1270 1445 1298 1100 930 775 660 584 519 460 361 压幅值 U(mv) 调整1W01使基极直流电压为1.5v 输入信 5.4 5.5 5.6 5.7 5.8 5.9 6.0 6.1 6.2 6.3 6.4 6.5 6.6 6.7 6.8 6.9 7.0 7.1 号频率 f(MHZ) 211 233 244 282 312 386 435 680 882 1220 1160 896 717 572 472 398 342 300 输出电 压幅值 U(mv) 调整1W01使基极直流电压为5v 5.4 5.5 5.6 5.7 5.8 5.9 6.0 6.1 6.2 6.3 6.4 6.5 6.6 6.7 6.8 6.9 7.0 7.1 输入信 号频率 f(MHZ) 输出电 596 666 778 880 1051 1222 1461 1601 1600 1420 1220 1070 917 786 720 650 593 545 压幅值 U(mv) 接通1R3时 5.4 5.5 5.6 5.7 5.8 5.9 6.0 6.1 6.2 6.3 6.4 6.5 6.6 6.7 6.8 6.9 7.0 7.1 输入信 号频率

混频器实验

实验5 乘法器的应用3---混频器实验 一、实验目的 1. 熟悉集成电路实现的混频器的工作原理。 2. 了解混频器的多种类型及构成。 3. 了解混频器中的寄生干扰。 二、预习要求 1. 预习混频电路的有关资料。 2. 认真阅读实验指导书,对实验电路的工作原理进行分析。 三、实验仪器 1. 双踪示波器 2. 高频信号发生器(最好有产生调制信号功能的信号源) 3. 频率计 4. 实验板GPMK7 四、实验电路说明 目前高质量的通信接收机中多采用二极管环形混频器和由双差分对管平衡调制器构成的混频器,本实验采用的是集成模拟乘法器(MC1496)构成的混频电路。 用模拟乘法器实现混频,只要u x 端和u y 端分别加上两个不同频率的信号,相差一中频如1.5MHz ,再经过带通滤波器取出中频信号,其原理方框图如图5-1所示 5-1 混频原理框图 若输入信号为: ()cos x sm s u t U t ω= 本振信号为: ()c o s y c m c u t U t ω= 则混频信号为: []12c s c s ()cos cos cos()t cos()t o cm sm c s sm cm u t KU U t t KU U ωωωωωω=?=++- c s i ωωω-= 为某中频频率。 若输入信号为:()(1cos )cos x sm a s u t U m t t ω=+Ω 本振信号为:()cos y cm c u t U t ω= 则混频信号为:c s ()(1cos )cos()o om a u t U m t t ωω=+Ω-

由MC1496 模拟乘法器构成的混频器电路如图5-2所示。注意:电源+12V -12V 本振信号U C(频率为6MHz)接到乘法器的⑽脚,将调幅波信号U S(频率为4.5MHz)接到乘法器的⑴脚,混频后的中频信号由乘法器的⑹脚输出,经形带通滤波器(其调谐在1.5MHz,带宽为450KHz)由电路输出端OUT得到差频(1.5MHz)信号(即:所谓中频信号)。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压U S和本振电压U C外,不可避免地存在干扰和噪声信号。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。因此不可避免地会产生干扰,其中影响最大的是中频干扰和镜像干扰。 图5-2 混频电路板 五、实验内容与步骤 1.中频频率的观测 将实验板GPMK1中的晶体振荡器产生的6MHz(幅值为0.2V P-P)信号作为本振信号接到混频电路的IN1端,高频信号发生器的输出(4.5MHz,0.1V P-P的载波)信号接到混频电路的IN2端,观测混频电路输出端OUT的输出波形和频率(中频),可适当调节RP使输出波形最大,记录测试结果。 2.镜像干涉频率的观测 用双踪示波器观测IN2端和OUT端的波形,缓慢调节高频信号发生器的输出频率(由4.5MHz调至7.5MHz,以0.3MHz步长填写下表),观测调幅波和中频,并记录。验证下列关系。 f镜像-f调幅波=2f中频 f in2 4.2MHz 6MHz 7.8MHz U out f out 描出输出端滤波器的频响特性 3.倍频实验观测(注:两端要在平衡条件下相乘)

相关文档
最新文档