李倩通信系统仿真综合实验报告

李倩通信系统仿真综合实验报告
李倩通信系统仿真综合实验报告

综合实验报告

( 2012--2013 年度第一学期)

名称:通信系统仿真

题目:基本题(6)

综合题(5)

院系:电子与通信工程系班级:通信0901

学号:200903010111

学生姓名:李倩

指导教师:孙景芳

设计周数: 1

成绩:

日期:2012年11 月2 日

实验

名称

实验一:基本题实验

环境

SystemVue仿真平台

实验目的1、能够熟练掌握和综合运用通信领域中的基本理论和专业知识;

2、能够完成通信基本理论的仿真;

3、锻炼运用知识,独立分析问题、解决问题的综合能力。

设计要求题目6:消息m(t)是:

|

|),

100

sin(

)

(t

t

t

t

m≤

=采用频率调制为1000HZ 的载波。频偏常数为k f=25。

(1)、确定调制信号瞬时频谱的范围。

(2)、确定调制信号的带宽。

(3)、绘出消息信号和已调信号的频谱。

(4)、确定调频系数m f。。。

(5)、加载白噪声后,重新绘出消息信号和解调信号的频谱及解调器输出的功率谱密度。

设计方案一、实验原理:

A、SystemVue软件介绍:

SystemVue是一个用于现代工程与科学系统设计及仿真的动态系统分析平台,从滤波器设计、信号处理、完整通信系统的设计与仿真,直到一般系统的数学模型建立等各个领域。其中需要注意以下几点:1、系统定时窗口的设定:

在系统定时窗口中,定义系统仿真的起始和终止时间、采样速率、采样间隔、采样点数、频率分辨率和系统的循环次数。

1)、起始和终止时间决定了系统运行的时间范围;

2)、采样速率=1/采样间隔;

3)、采样点数决定了系统仿真过程中总的采样点个数,其基本运算关系为:采样点数=(终止时间—起始时间)*采样速率+1;

4)、系统的循环次数决定了用户系统自动重复运行的次数。

2、分析窗口中的接收计算器a:

利用接收计算器,可以绘制信号的频谱、功率谱、眼图、比特误码率图以及将多个图形置于同一坐标中比较。

B、FM实验原理:

FM调频属于非线性调制,通过改变载波的频率来达到,载波振幅

设计方案不变,频率随基带信号变化特点:频谱除了位置移动,还有频谱结构的变化,都是靠频率随m(t)变化。

1、根据调制后已调信号的瞬时相位偏移的大小,可将频率调制FM分为宽带调制和窄带调制。如果满足条件:

6/

|

)

(

|

max

pi

dt

t

m

K

FM

?

则称为窄带调频,当上述条件不满足时,称为宽带调频。

2、窄带调频:

1)、窄带调频的数学表达式:

2)、调制的原理框图如下图图1所示:

3、窄带信号的解调:

利用相干解调的方法恢复原调制信号,原理框图如下图图2所示,其中带通滤波器的作用是:用于调频信号和抑制噪声;低通滤波器的

带宽应为调制信号的带宽。具体图如下:

二、参数设计:

1、信源参数设计:

1)、调制信号:已知

|

|),

100

sin(

)

(t

t

t

t

m≤

=知,调制信号的频率设置为16HZ,在连线时,要选第0号线,为sin函数;

2)、载波信号:将载波信号的频率设置为1000HZ,并在连线时注

t

w

dt

t

m

AK

t

w

A

dt

t

m

K

t

w

A

t

S

c

FM

c

FM

c

FM

sin

]

)

(

[

cos

]

)

(

cos[

)

(

?

?

-

=

+

=

设计方案意是0号线的sin函数,还是1号线的cos函数。

2、滤波器参数的设计:

1)、带通滤波器:已知FM调制后的波形如下:

频率值为w c附近,且带通滤波器的作用是抑制噪声,使调制后的信号通过,所以将带通滤波器的值设置为:984—1016HZ;

2)、低通滤波器:已知调制信号的频率是16HZ,且低通滤波器的作用是:使调制信号通过,恢复出原始信号,所以低通滤波器的值设置为:0—16HZ。

3、增益(放大器)参数设置:

已知调频常数K f=25,故将增益图符的增益值设置为25。

4、其他图符:

模拟乘法器(用于调制与解调)、积分器、微分器、取负数、频谱分析仪及相加器(用于将两路信号相加)。

实验步骤1、FM调制、解调图:

带通滤波器参数设计图:低通滤波器参数设计图:

t

w

dt

t

m

AK

t

w

A

t

S

c

FM

c

FM

sin

]

)

(

[

cos

)

(?

-

=

验 步 骤

2、FM+白噪声后的调制解调图:

白噪声参数的设计:

实 验 结 果 及 分

一、FM 调制与解调: 1、实验结果:

2、实验结果分析:

15号分析仪为调制信号的波形;16为载波信号的波形:17为调制后的FM 的波形;18为解调后的调制信号的波形。由上图知:解调后的波形与原始调制信号基本一致,所以此实验基本成功。

实验结果及分析3、思考题:

(1)、确定调制信号瞬时频谱的范围。

由于调制信号的波形是m(t)=sin(100t),所以将其进行傅里叶变换后,在正半轴大约16HZ左右,有一个冲激,即上图。

(2)、确定调制信号的带宽。

答:带宽即是16HZ。

(3)、绘出消息信号和已调信号的频谱。

上图是已调信号频谱,由FM信号

知:在频率w c=1000HZ,984HZ,1016HZ处分别有一个冲激。(4)、确定调频系数m f

答:

二、FM+白噪声后的调制解调:

1、实验结果:

t

w

dt

t

m

AK

t

w

A

t

S

c

FM

c

FM

sin

]

)

(

[

cos

)

(?

-

=

4

1

100

25

=

=

=

m

m

f

f w

A

K

m

实验结果及分析2、实验结果分析:

15:调制信号的波形,16:载波信号的波形,17:调制后FM的波形,18:白噪声的波形,19:解调后的原始信号。由上图知:解调后的信号与原始调制信号基本保持不变,但仍有一定的影响,且当信道中加入的白噪声越小,解调后的波形与原始调制信号越接近。

2、思考题:

求解调器输出的功率谱密度:

求解调信号的频谱:

实验

名称 实验二:综合题

实验环境

SystemVue 仿真平台

实 验 目 的

1、能够熟练掌握和综合运用通信领域中的基本理论和专业知识;

2、能够对较复杂的通信系统进行分析与设计,针对给定的参数,完成

设计任务;

3、锻炼运用知识,独立分析问题、解决问题的综合能力。 设 计 要 求

题目5:无线局域网系统的仿真:

要求:基于IEEE802.11a 设计一个无线局域网仿真系统,要求利用OFDM 技术,测试其抗干扰能力及其他性能指标。 设

一、实验原理:

无线局域网的数据传输速率已达到11Mbps ,传输距离可远至20Km 以上。它是对有线联网方式的一种补充和扩展,能快速方便的解决使用有线方式不易实现的网络联通问题。 1、IEEE802.11a 中的OFDM 收发信机的框图如下:

由上图知:实验中需要应用到卷积、交织、OPSK 、插入导频和OFDM 等技术,具体知识点如下:

1)、多径衰落始终是制约无线局域网传输速度的一个重要因素。正交频分复用OFDM 把高速数据流拆分成若干路低速数据流在相互正交的子载波上传输,从而增加子载波上信号的持续时间,大大减小了宽带无线通信中多径信道下产生的畸变带来的影响。

2)、在发射机路径中,二进制输入数据经过标准的1/2效率的卷积编码,然后再利用数据吞除器对编码数据实施凿孔操作,编码效率可以提高到2/3或3/4,经过交织之后,二进制数据被转换成QPSK 复数

设计方案符号。

3)、插入导频信号:为了便于相干检测,利用分解器和复用器,在48个数据数值中需要插入4个导频信号,这样在每个OFDM符号内就可以得到52个QPSK复数值,然后经过OFDM调制,把这些符号调制到52个信道中。

4)、OFDM技术:OFDM与传统的频分复用(FDM)原理不同的是,在OFDM中,利用了各子载波之间的正交性可以大大减小保护带宽,各子载波之间可以相互重叠,从而有效地提高了频谱利用率。

5)、在OFDM接收机中,利用OFDM解调技术,恢复所有子信道中的52个QPSK复数值导频符号用于纠正信道影响,以及剩余的频率漂移。然后把QPSK复数值映射为相应的二进制比特值,最后,对这些比特信息进行维特比译码,以恢复发射的二进制数据。

二、实验参数设置:

1、卷积吗编码器的参数设置:

卷积吗编码参数设置为(2,1,7)即输出比特数为2,信息位长为1,约束长度为7,约束长度设置较大,为了使接收码字的衰落保持独立性。

2、数据吞除器的参数设置:

将其吞除间隔设置为3,则使速率提高到3/4。

3、交织器、bit->sym转换器:

1)、交织器的作用是纠正突发错误,所以按原则是行数、列数越大,误码率会更小;

2)、bit->sym转换器:将其设置为4,即将4个bit位转换成一个符号;而sym->bit转换器则相反。

4、通用复用器参数的设置:

应用几个端口,则通用复用器的端口就设置为几,此实验中为了插入导频,所以设置为13,且在设置采样频率时,一定要使各端口的输入速率保持一致,本实验中,将采样器的采样频率设置为100HZ。5、OFDM调制器、解调器频率的设置:

本实验中设置为:1300HZ,即使复用器输出端的最大速率与OFDM 调制器、解调器的频率保持一致。

注意:经过解调以后的通用分解器,将端口设置为13,才能使回复出来的信号频率和原始信号频率保持一致。

实验步骤一、IEEE802.11a中的OFDM收发机图:

二、部分图符参数设置截图:

1、卷积码编码器参数设置:

2、OFDM调制器参数设置:

实验步骤3、系统时间参数设置:

此实验中采样点数的设置很关键,需要注意以下两点:

1)、终止时间的设置:因为采样点数决定了系统终止时间,即系统扫描时间,如系统扫描时间太短,则OFDM调制器的输出显示为0,如果设置稍微长一些,则可以清晰的看到输出波形;

2)、循环次数的设置:由于应用到比特误码率测试仪,且由于时间较长,与终止显示器、终止符配合使用,由于系统运行一次,计算一次统计平均比特误码率,所以为了使系统更有效,作4次循环。

注意:终止显示器与比特误码率一起连线时,一定要连接1号线:“Cumulative Average(统计平均值)”。

实验结果及分析一、IEEE802.11a中的OFDM收发机:

1、实验结果:

实验结果及分析2、实验结果分析:

1)、简单频谱分析仪介绍:

82:输入的PN序列;2:经过采样延迟后的PN序列

29、57:分别为复用器同相支路、正交支路的输出;

31:OFDM调制器的输出;56:OFDM解调器的输出

67:最终的采样输出;84:终端显示器的输出

2)、结果分析:

本次实验主要是验证经过卷积、交织、调制、解调后恢复出的随机信号与原始随机信号的误码率测试。

A、误码率测试方法:

创建完仿真系统后,单击运行按钮,随着每次循环,终值显示框内出现每次的运算结果,其中最后一列的数据为误比特率。4次循环结束后进入分析窗,此时给出的误比特率是随仿真时间改变的规律,欲观察BER随解调信号SNR改变的曲线,需单击“接收计算器”按钮,在出现的对话框中,选中Style按钮,单击BER Plot按钮,即可得出误码率测试图。

B、误码率测试结果如下所示:

由上图知:本实验基本上达到实验要求,系统运行了4次,每次的时间为14s,且系统4次循环后的误码率分别为:0.2897、0.2914、0.2915、0.2941,基本上在0.29左右,所以误码率比较大,且随着横坐标信噪比S/N的增大,没有呈现减小的趋势,这就是本实验的最大缺点。

通过比较比特误码率图符BER的两个输入进行分析原因:下图即为两输入的波形图,其中:2:经过采样延迟后的PN序列,67:最终

实验结果及分析的采样输出。

比特误码率BER两输入的波形:

误码率是衡量各种数字载波传输系统性能的一个重要指标。PSK与ASK、FSK相比,具有较小的误码率,因此抗噪性能较强。所以本次实验选择QPSK信号的误码率随噪声功率变化而变化的情况做出实验仿真。

尽管如此,但是由上图看出:两图之间还存在着一定的误差,应该通过修改采样延迟图符Z-n的采样点数进行进一步修正,也可以通过改变交织器的行数和列数来减小突发误码率,由于知识有限、时间有限,本实验还不够完善。

C、其他性能参数:

最终采样器的输出的频率为3600HZ,且原始随机序列采样后的频率也是3600HZ,所以验证经过一系列变换后,输出基本正确。

系统工程实验报告

系统工程实验报告 学院:管工学院 班级:工业工程102班 姓名:管华同 学号:109094042

实验一:解释结构模型 一、实验目的: 熟悉EXCEL,掌握解释结构模型规范方法。 二、实验内容: 1.已知可达矩阵如下表1 12345678 111010000 201000000 311110000 401010000 501011000 601011111 701011011 800000001 2. EXCEL中对错误!未找到引用源。中的可达矩阵用实用方法建立其递阶结构模型。(1)对可达矩阵进行缩减,得到缩减矩阵 12345678 111010000 201000000 311110000 401010000 501011000 601011111 701011011 800000001 (2)按小到大给每行排序 1 2 3 4 5 6 7 8 每行的和 2 0 1 0 0 0 0 0 0 1 8 0 0 0 0 0 0 0 1 1 4 0 1 0 1 0 0 0 0 2 1 1 1 0 1 0 0 0 0 3 5 0 1 0 1 1 0 0 0 3 3 1 1 1 1 0 0 0 0 4 7 0 1 0 1 1 0 1 1 5 6 0 1 0 1 1 1 1 1 6

(3)调整行列构成对角单位矩阵 2 8 4 1 5 3 7 6 每行的和 2 1 0 0 0 0 0 0 0 1 8 0 1 0 0 0 0 0 0 1 4 1 0 1 0 0 0 0 0 2 1 1 0 1 1 0 0 0 0 3 5 1 0 1 0 1 0 0 0 3 3 1 0 1 1 0 1 0 0 4 7 1 1 1 0 1 0 1 0 5 6 1 1 1 0 1 0 1 1 6 (4)画出递阶结构有向图 28 4 15 37 6(4)递阶结构模型完成。第一级第五级第二级 第三级第四级

通信工程专业综合实验报告..

通信工程专业综合实验 实验报告 (移动通信系统和网络协议部分) 姓名: 学号: 班级: 指导教师:

实验一:主被叫实验 一、实验目的 1、掌握移动台主叫正常接续时的信令流程。 2、了解移动台主叫时被叫号码为空号时的信令流程。 3、了解移动台主叫时被叫用户关机或处于忙状态时的信令流程。 4、了解移动台主叫时被叫用户振铃后长时间不接听的信令流程。 5、掌握移动台被叫正常接续时的信令流程。 6、掌握通话结束呼叫释放时的信令流程。 7、了解被叫用户振铃后长时间不接听时移动台被叫的信令流程。 二、实验仪器 1、移动通信实验箱一台; 2、台式计算机一台; 3、小交换机一台: 三、实验原理 处于开机空闲状态的移动台要建立与另一用户的通信,在用户看来只要输入被叫号码,再按发送键,移动台就开始启动程序直到电话拨通。实际上,移动台和网络要经许多步骤才能将呼叫建立起来。以移动台和移动台进行通信为例,就包括主叫移动台和主叫MSC建立信令链接、主叫MSC通过被叫电话号码对被叫用户进行选路,即寻找被叫所处的MSC、被叫MSC寻呼被叫MS并建立信令连接过程等三个过程。本实验主要是让学生掌握移动通信中移动台主叫时MS和MSC之间的信令过程、以及为了完成通话连接,主叫MSC和被叫MSC之间的信令过程(即七号信令中的部分消息)。 四、实验内容 1、记录正常呼叫的过程中,移动台主叫部分和被叫部分的信令流程 2、记录被叫关机时,移动台主叫部分的信令流程 3、记录被叫振铃后无应答时,移动台主叫部分和被叫部分的信令流程 4、记录被叫号码无效时,移动台主叫的信令流程 5、记录通话结束后,呼叫链路释放的信令流程 五、实验步骤 主叫实验: 1、通过串行口将实验箱和电脑连接,给实验箱上电。将与实验箱相连的电脑上的学生平台程序打开。在主界面上双击“主叫实验”图标,进入此实验界面。 2、点击“初始化”键,看到消息框中出现“初始化”完成。再点击“开机”键,从而使移动台处于开机状态。

信号与系统仿真实验报告

信号与系统仿真实验报告1.实验目的 了解MATLAB的基本使用方法和编程技术,以及Simulink平台的建模与动态仿真方法,进一步加深对课程内容的理解。 2.实验项目 信号的分解与合成,观察Gibbs现象。 信号与系统的时域分析,即卷积分、卷积和的运算与仿真。 信号的频谱分析,观察信号的频谱波形。 系统函数的形式转换。 用Simulink平台对系统进行建模和动态仿真。 3.实验内容及结果 3.1以周期为T,脉冲宽度为2T1的周期性矩形脉冲为例研究Gibbs现象。 已知周期方波信号的相关参数为:x(t)=∑ak*exp(jkω),ω=2*π/T,a0=2*T1/T,ak=sin(kωT1)/kπ。画出x(t)的波形图(分别取m=1,3,7,19,79,T=4T1),观察Gibbs现象。 m=1; T1=4; T=4*T1;k=-m:m; w0=2*pi/T; a0=2*T1/T; ak=sin(k*w0*T1)./(k*pi); ak(m+1)=a0; t=0:0.1:40; x=ak*exp(j*k'*w0*t); plot(t,real(x)); 3.2求卷积并画图 (1)已知:x1(t)=u(t-1)-u(t-2), x2(t)=u(t-2)-u(t-3)求:y(t)=x1(t)*x2(t)并画出其波形。 t1=1:0.01:2; f1=ones(size(t1)); f1(1)=0; f1(101)=0; t2=2:0.01:3; f2=ones(size(t2)); f2(1)=0; f2(101)=0; c=conv(f1,f2)/100;

t3=3:0.01:5; subplot(311); plot(t1,f1);axis([0 6 0 2]); subplot(312); plot(t2,f2);axis([0 6 0 2]); subplot(313); plot(t3,c);axis([0 6 0 2]); (2)已知某离散系统的输入和冲击响应分别为:x[n]=[1,4,3,5,1,2,3,5], h[n]=[4,2,4,0,4,2].求系 统的零状态响应,并绘制系统的响应图。 x=[1 4 3 5 1 2 3 5]; nx=-4:3; h=[4 2 4 0 4 2]; nh=-3:2; y=conv(x,h); ny1=nx(1)+nh(1); ny2=nx(length(nx))+nh(length(nh)); ny=[ny1:ny2]; subplot(311); stem(nx,x); axis([-5 4 0 6]); ylabel('输入') subplot(312); stem(nh,h); axis([-4 3 0 5]); ylabel('冲击效应') subplot(313); stem(ny,y); axis([-9 7 0 70]); ylabel('输出'); xlabel('n'); 3.3 求频谱并画图 (1) 门函数脉冲信号x1(t)=u(t+0.5)-u(t-0.5) N=128;T=1; t=linspace(-T,T,N); x=(t>=-0.5)-(t>=0.5); dt=t(2)-t(1); f=1/dt; X=fft(x); F=X(1:N/2+1); f=f*(0:N/2)/N; plot(f,F)

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

通信技术综合实验报告

综合实验报告 ( 2010-- 2011年度第二学期) 名称:通信技术综合实验题目:SDH技术综合实验院系:电子与通信工程系班级: 学号: 学生姓名: 指导教师: 设计周数:两周 成绩: 日期:2011年 6 月

A C B D S1 P1S1 P1 主用 备用 AC AC 环形保护组网配置实验 一、实验的目的与要求 1、实验目的: 通过本实验了解2M 业务在环形组网方式时候的配置。 2、实验要求: 在SDH1、SDH2、SDH3配置成环网,开通SDH2到SDH3两个节点间的2M 业务,并提供环网保护机制。 1)掌握二纤单向保护环的保护机理及OptiX 设备的通道保护机理。 2)掌握环形通道保护业务配置方法。采用环形组网方式时,提供3套SDH 设备,要求配置成虚拟单向通道保护环。 3)了解SDH 的原理、命令行有比较深刻,在做实验之前应画出详细的实际网络连接图,提交实验预习报告,要设计出实验实现方案、验证方法及具体的步骤。 4)利用实验平台自行编辑命令行并运行验证实验方案,进行测试实验是否成功。 二、实验正文 1.实验原理 单向通道保护环通常由两根光纤来实现,一根光纤用于传业务信号,称S 光纤;另一根光纤传相同的信号用于保护,称P 光纤。单向通道保护环使用“首端桥接,末端倒换”结构如下图所示: 业务信号和保护信号分别由光纤S1和P1携带。例如,在节点A ,进入环以节点C 为目的地的支路信号(AC )同时馈入发送方向光纤S1和P1。其中,S1光纤按ABC 方向将业务信号送至节点C ,P1光纤按ADC 方向将同样的信号作为保护信号送至分路节点C 。接收端分路节点C 同时收到两个方向支路信号,按照分路通道信号的优劣决定选其中一路作为分路信号,即所谓末端选收。正常情况下,以S1光纤送来信号为主信号。同时,从C 点插入环以节点A 为目的地的支路信号(CA)按上述同样方法送至节点A 。

Matlab通信系统仿真实验报告

Matlab通信原理仿真 学号: 2142402 姓名:圣斌

实验一Matlab 基本语法与信号系统分析 一、实验目的: 1、掌握MATLAB的基本绘图方法; 2、实现绘制复指数信号的时域波形。 二、实验设备与软件环境: 1、实验设备:计算机 2、软件环境:MATLAB R2009a 三、实验内容: 1、MATLAB为用户提供了结果可视化功能,只要在命令行窗口输入相应的命令,结果就会用图形直接表示出来。 MATLAB程序如下: x = -pi::pi; y1 = sin(x); y2 = cos(x); %准备绘图数据 figure(1); %打开图形窗口 subplot(2,1,1); %确定第一幅图绘图窗口 plot(x,y1); %以x,y1绘图 title('plot(x,y1)'); %为第一幅图取名为’plot(x,y1)’ grid on; %为第一幅图绘制网格线 subplot(2,1,2) %确定第二幅图绘图窗口 plot(x,y2); %以x,y2绘图 xlabel('time'),ylabel('y') %第二幅图横坐标为’time’,纵坐标为’y’运行结果如下图: 2、上例中的图形使用的是默认的颜色和线型,MATLAB中提供了多种颜色和线型,并且可以绘制出脉冲图、误差条形图等多种形式图: MATLAB程序如下: x=-pi:.1:pi; y1=sin (x); y2=cos (x); figure (1); %subplot (2,1,1); plot (x,y1); title ('plot (x,y1)'); grid on %subplot (2,1,2); plot (x,y2);

通信原理实验四 实验报告 抽样定理与PAM系统实训

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:■验证□综合□设计□创新实验日期:实验成绩:实验四抽样定理与PAM系统实训 一、实验目的 1.熟通过对模拟信号抽样的实验,加深对抽样定理的理解; 2.通过PAM调制实验,使学生能加深理解脉冲幅度调制的特点; 3.通过对电路组成、波形和所测数据的分析,了解PAM调制方式的优缺点。 二、实验原理 1.取样(抽样、采样) (1)取样 取样是把时间连续的模拟信号变换为时间离散信号的过程。 (2)抽样定理 一个频带限制在(0,f H) 内的时间连续信号m(t),如果以≦1/2f H每秒的间隔对它进行等间隔抽样,则m(t)将被所得到的抽 样值完全确定。 (3)取样分类 ①理想取样、自然取样、平顶取样; ②低通取样和带通取样。 2.脉冲振幅调制电路原理(PAM) (1)脉冲幅度调制系统 系统由输入电路、高速电子开关电路、脉冲发生电路、解调滤波电路、功放输出电路等五部分组成。 图 1 脉冲振幅调制电路原理框图 (2)取样电路 取样电路是用4066模拟门电路实现。当取样脉冲为高电位时,

取出信号样值;当取样脉冲为低电位,输出电压为0。 图 2 抽样电路 图 3 低通滤波电路 三、实验步骤 1.函数信号发生器产生2KHz(2V)模拟信号送入SP301,记fs; 2.555电路模块输出抽样脉冲,送入SP304,连接SP304和SP302,记fc; 3.分别观察fc>>2fs,fc=2fs,fc<2fs各点波形; 4.连接SP204 与SP301、SP303H 与SP306、SP305 与TP207,把扬声 器J204开关置到1、2 位置,触发SW201 开关,变化SP302 的输入 时钟信号频率,听辨音乐信号的质量. 四、实验内容及现象 1.测量点波形 图 4 TP301 模拟信号输入 图 5 TP302 抽样时钟波形(555稍有失真) fc=38.8kHz ①fc>>2fs,使fs=5KHz: 图 6 TP303 抽样信号输出1 图7 TP304 模拟信号还原输出1 ②fc=2fs,使fs=20KHz: 图8 TP303 抽样信号输出2 图9 TP304 模拟信号还原输出2 ③fc<2fs,使fs=25KHz: 图10 TP303 抽样信号输出3 图11 TP304 模拟信号还原输出3 2.电路Multisim仿真 图12 PAM调制解调仿真电路 图13 模拟信号输入 图14 抽样脉冲波形 图15 PAM信号 图16 低通滤波器特性 图17 还原波形 更多学习资料请见我的个人主页:

系统仿真实验报告

中南大学系统仿真实验报告 指导老师胡杨 实验者 学号 专业班级 实验日期 2014.6.4 学院信息科学与工程学院

目录 实验一MATLAB中矩阵与多项式的基本运算 (3) 实验二MATLAB绘图命令 (7) 实验三MATLAB程序设计 (9) 实验四MATLAB的符号计算与SIMULINK的使用 (13) 实验五MATLAB在控制系统分析中的应用 (17) 实验六连续系统数字仿真的基本算法 (30)

实验一MATLAB中矩阵与多项式的基本运算 一、实验任务 1.了解MATLAB命令窗口和程序文件的调用。 2.熟悉如下MATLAB的基本运算: ①矩阵的产生、数据的输入、相关元素的显示; ②矩阵的加法、乘法、左除、右除; ③特殊矩阵:单位矩阵、“1”矩阵、“0”矩阵、对角阵、随机矩阵的产生和运算; ④多项式的运算:多项式求根、多项式之间的乘除。 二、基本命令训练 1.eye(m) m=3; eye(m) ans = 1 0 0 0 1 0 0 0 1 2.ones(n)、ones(m,n) n=1;m=2; ones(n) ones(m,n) ans = 1 ans = 1 1

3.zeros(m,n) m=1,n=2; zeros(m,n) m = 1 ans = 0 0 4.rand(m,n) m=1;n=2; rand(m,n) ans = 0.8147 0.9058 5.diag(v) v=[1 2 3]; diag(v) ans = 1 0 0 0 2 0 0 0 3 6.A\B 、A/B、inv(A)*B 、B*inv(A) A=[1 2;3 4];B=[5 6;7 8]; a=A\B b=A/B c=inv(A)*B d=B*inv(A) a = -3 -4 4 5 b = 3.0000 -2.0000 2.0000 -1.0000

系统工程仿真实验报告

系统工程仿真实验报告 姓名:_蒋智颖_ 学号:_110061047_ 成绩:___________ 实验一:基于VENSIM的系统动力学仿真 一、实验目的 VENSIM是一个建模工具,可以建立动态系统的概念化的,文档化的仿真、分析和优化模型。PLE(个人学习版)是VENSIM的缩减版,主要用来简单化学习动态系统,提供了一种简单富有弹性的方法从常规的循环或储存过程和流程图建立模型。本实验就是运用VENSIM进行系统动力学仿真,进一步加深对系统动力学仿真的理解。 二、实验软件 VENSIM PLE 三、原理 1、在VENSIM中建立系统动力学流图; 2、写出相应的DYNAMO方程; 3、仿真出系统中水准变量随时间的响应趋势; 四、实验内容及要求 某城市国营和集体服务网点的规模可用SD来研究。现给出描述该问题的DYNAMO方程及其变量说明。 L S·K=S·J+DT*NS·JK N S=90 R NS·KL=SD·K*P·K/(LENGTH-TIME·K) A SD·K=SE-SP·K C SE=2 A SP·K=SR·K/P·K A SR·K=SX+S·K C SX=60 L P·K=P·J+DT*NP·JK N P=100 R NP·KL=I*P·K C I=0.02 其中:LENGTH为仿真终止时间、TIME为当前仿真时刻,均为仿真控制变量;S为个体服务网点数(个)、NS为年新增个体服务网点数(个/年)、SD为实际千人均服务网点与期望差(个/千人)、SE为期望的千人均网点数、SP为的千人均网点数(个/千人)、SX为非个体服务网点数(个)、SR为该城市实际拥有的服务网点数(个)、P为城市人口数(千人)、NP为年新

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

通信原理综合实验数字频带传输系统的仿真报告解析

课程名称数字通信综合实验 题目数字频带传输系统的仿真 专业电子信息工程 班级 学号 姓名 指导教师 地点 时间:2015年7月04日至2015年7月08日

摘要 此次课程设计主要运用MATLAB集成环境下的Simulink仿真平台对2ASK频带传输系统仿真,并把运行仿真结果输入到显示器,根据显示器结果分析设计的系统性能。在设计中,目的主要是仿真通信系统中频带传输技术中的ASK调制。产生一段随机的二进制非归零码的频带信号,对其进行ASK调制后再加入加性高斯白噪声传输,在接收端对其进行ASK解调以恢复原信号,观察还原是否成功。通过Simulink的仿真功能摸拟到了实际中的2ASK 调制与解调情况。 关键词:Simulink ;高斯白噪声;调制与解调

第1章前言 (4) 1.设计平台 (4) 2. Simulink (5) 第2章通信技术的历史和发展 (7) 2.1通信的概念 (7) 2.2 通信的发展史简介 (9) 2.3通信技术的发展现状和趋势 (9) 第3章2ASK的基本原理 (10) 3.1 2ASK定义 (10) 3.2 2ASK的调制 (11) 3.3 2ASK的解调 (11) 第4章2ASK频带系统设计方案 (12) 4.1仿真系统的调制与解调过程 (12) 4.2 SIMULINK下2ASK系统的设计 (12) 第5章仿真结果分析 (17) 第6章出现的问题及解决方法 (23) 第7章总结 (24) 参考文献 (24)

第1章前言 在现代数字通信系统中,频带传输系统的应用最为突出。将原始的数字基带信号,经过频谱搬移,变换为适合在频带上传输的频带信号,传输这个信号的系统就称为频带传输系统。在频带传输系统中,根据数字信号对载波不同参数的控制,形成不同的频带调制方法。幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波数字形式的调制信号在控制下通断,此时又可称作开关键控法(OOK)。本设计中选择正弦波作为载波,用一个二进制基带信号对载波信号的振幅进行调制,载波数字信号1或0的控制下通或断,在信号为1的状态载波接通,此时传输信道上有载波出现;在信号为0的状态下,载波被关断,此时传输信道上无载波传送,调制后的信号的频带宽度为二进制基带信号宽度的两倍,此制称为二进制振幅键控信号。 数字调制就是对基带数据信号进行变换,实现信号频谱的“搬移”数据的发送端进行搬移的过程称作“调制”,在称作调制器的设备中完成。在数据的接收端,有一个相反的变换被称作“解调”的过程,解调过程在称作解调器的设备中完成。经过调制的后的信号在一个很高的频段上占有一定的带宽,由于所处频段很高,使得其最高频率和最低频率的相对偏差变小(最高频率和最低频率的比值略大于1),这样的信号称为频带信号或射频信号,相应的传输系统称作频带传输系统。 数字频带传输系统或带通信号是现代通信系统的非常重要部分,通过调制来时信号与信道特新相匹配从而达到效果、传输为目的。数字频带传输系统既可用于低速数据信道,而可以用于中、高速数字信道,其应用很广泛,因此研究数字频带传输系统具有非常重要的义。理解和掌握二进制数字调制通信系统的各个关键环节,包括调制、解调、滤波、传输、噪声对通信质量的影响等。在数字信号处理实验课的基础上更加深入的掌握数字滤波器的设计原理及实现方法。是学习者对系统各关键点的信号波形及频谱有深刻的认识。设计或分析一个简单的通信系统,可以进一步理解通信系统的基本组成、模拟通信和数字通信的基础理论、通信系统发射端信号的形成及接收端信号解调的原理、通信系统信号传输质量的检测等方面的相关知识。 1.设计平台 MATLAB是美国MathWorks公司生产的一个为科学和工程计算专门设计的交互式大型

交通运输系统仿真实验报告

一、系统描述 1.1.系统背景 本系统将基于下面的卫星屏幕快照创建一个模型。当前道路网区域的两条道路均为双向,每个运动方向包含一条车道。Tapiolavagen路边有一个巴士站,Menninkaisentie路边有一个带五个停车位的小型停车场。 1.2.系统描述 (1)仿真十字路口以及三个方向的道路,巴士站,停车点;添加小汽车、公交车的三维动画,添加红绿灯以及道路网络描述符; (2)创建仿真模型的汽车流程图,三个方向产生小汽车,仿真十字路口交通运行情况。添加滑条对仿真系统中的红绿灯时间进行实时调节。添加分析函数,统计系统内汽车滞留时间,用直方图进行实时展示。 二、仿真目标 1、timeInSystem值:在流程图的结尾模块用函数统计每辆汽车从产生到丢弃的,在系统中留存的时间。 2、p_SN为十字路口SN方向道路的绿灯时间,p_EW为十字路口EW方向道路的绿灯时间。 3、Arrival rate:各方向道路出现车辆的速率(peer hour)。

三、系统仿真概念分析 此交通仿真系统为低抽象层级的物理层模型,采用离散事件建模方法进行建模,利用过程流图构建离散事件模型。 此十字路口交通仿真系统中,实体为小汽车和公交车,可以源源不断地产生;资源为道路网络、红绿灯时间、停车点停车位和巴士站,需要实施分配。系统中小汽车(car)与公共汽车(bus)均为智能体,可设置其产生频率参数,行驶速度,停车点停留时间等。 四、建立系统流程 4.1.绘制道路 使用Road Traffic Library中的Road模块在卫星云图上勾画出所有的道路,绘制交叉口,并在交叉口处确保道路连通。 4.2.建立智能体对象 使用Road Traffic Library中的Car type模快建立小汽车(car)以及公共汽车(bus)的智能体对象。 4.3.建立逻辑 使用Road Traffic Library中的Car source、Car Move To、Car Dispose、

《工程系统建模》实验报告.

《工程系统建模与仿真》实验报告 姓名XXXXXXX 学号XXXXXXX 班级XXXXXXX 专业XXXXXXX 报告提交日期XXXXXXX

实验一 扭摆法测定物体的转动惯量 一、 实验名称 扭摆法测定物体的转动惯量 二、 同组成员 学号 姓名 XXXXXX XXX XXXXXX XXX XXXXXX XXX XXXXXX XXX XXXXXX XXX XXXXXX XXX XXXXXX XXX XXXXXX XXX XXXXXX XXX 三、 实验器材 1) 转动惯量测试仪 2) 数字式电子台秤 3) 游标卡尺 4) 扭摆及几种有规则的待测转动惯量的物体:金属载物圆盘、塑料圆柱体、 木球、验证转动惯量平行轴定理用的金属细杆,杆上有两块可以自由移动的金属滑块。 四、 实验原理 转动惯量的测量,一般都是使刚体以一定形式运动,通过表征这种运动特征的物理量与转动惯量的关系,进行转换测量。本实验使物体作扭转摆动,由于摆动周期及其它参数的测定计算出物体的转动惯量。 扭摆的构造如图 1-1所示,在垂直轴1上装有一根薄片状的螺旋弹簧2,用以产生恢复力矩。在轴的上方可以装上各种待测物体。垂直轴与支座间装有轴承,以降低摩擦力矩。3为水平仪,用来调整系统平衡。 将物体在水平面内转过一定角度θ后,在弹簧的恢复力矩作用下物体就开始绕垂直轴作周期往返扭转运动。 根据虎克定律,弹簧受扭转而产生的恢复力矩M 与所转过的角度θ成正 比,即:M=-Kθ (1) 上式中,K 为弹簧的扭转常数。 由转动定律M =Iβ得:β=M /I (2) 令ω2=K /I ,忽略轴承的摩擦阻力矩,由式(1)、(2)得: 2 22 d K dt I θβθωθ= =-=- 图 1-1 上述方程表示扭摆运动具有角简谐振动的特性,角加速度与角位移成正比, 且方向相反。此方程的解为:θ=Acos (ωt +?)。 式中,A 为谐振动的角振幅,φ为初相位角,ω为角速度,此谐振动的周期

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

控制系统仿真实验报告

哈尔滨理工大学实验报告 控制系统仿真 专业:自动化12-1 学号:1230130101 姓名:

一.分析系统性能 课程名称控制系统仿真实验名称分析系统性能时间8.29 地点3# 姓名蔡庆刚学号1230130101 班级自动化12-1 一.实验目的及内容: 1. 熟悉MATLAB软件的操作过程; 2. 熟悉闭环系统稳定性的判断方法; 3. 熟悉闭环系统阶跃响应性能指标的求取。 二.实验用设备仪器及材料: PC, Matlab 软件平台 三、实验步骤 1. 编写MATLAB程序代码; 2. 在MATLAT中输入程序代码,运行程序; 3.分析结果。 四.实验结果分析: 1.程序截图

得到阶跃响应曲线 得到响应指标截图如下

2.求取零极点程序截图 得到零极点分布图 3.分析系统稳定性 根据稳定的充分必要条件判别线性系统的稳定性最简单的方法是求出系统所有极点,并观察是否含有实部大于0的极点,如果有系统不稳定。有零极点分布图可知系统稳定。

二.单容过程的阶跃响应 一、实验目的 1. 熟悉MATLAB软件的操作过程 2. 了解自衡单容过程的阶跃响应过程 3. 得出自衡单容过程的单位阶跃响应曲线 二、实验内容 已知两个单容过程的模型分别为 1 () 0.5 G s s =和5 1 () 51 s G s e s - = + ,试在 Simulink中建立模型,并求单位阶跃响应曲线。 三、实验步骤 1. 在Simulink中建立模型,得出实验原理图。 2. 运行模型后,双击Scope,得到的单位阶跃响应曲线。 四、实验结果 1.建立系统Simulink仿真模型图,其仿真模型为

嵌入式操作系统实验报告

《嵌入式操作系统》实验报告 班级计算机 学号 姓名 指导教师庄旭菲

内蒙古工业大学信息工程学院计算机系 2018年6月 实验一 Linux内核移植与编译实验 1. 实验目的 了解 Linux 内核相关知识与内核结构 了解 Linux 内核在 ARM 设备上移植的基本步骤和方法 掌握 Linux 内核裁剪与定制的基本方法 2. 实验内容 分析 Linux 内核的基本结构,了解 Linux 内核在 ARM 设备上移植的一些基本步骤及常识。 学习 Linux 内核裁剪定制的基本配置方法,利用 UP-Magic210 型设备配套 Linux 内核进行自定义功能(如helloworld 显示)的添加,并重新编译内核源码,生成内核压缩文件 zImage,下载到 UP-Magic210 型设备中测试。 3. 实验步骤 实验目录:/UP-Magic210/SRC/kernel/编译内核:在宿主机端为UP-Magic210 设备的Linux 内核编写简单的测试驱动(内核)程序并修改内核目录中相关文件,添加对测试驱动程序的支持。 (1)、使用 vim 编辑器手动编写实验代码

内如如下: #include #include MODULE_LICENSE("Dual BSD/GPL"); (3)、进入实验内核源码目录修改 driver/char/目录下的 Makefile 文件,按照内核中Makefile 语法添加 helloworld程序的编译支持 [root@localhost vi drivers/char/Makefile 在 Makefile 中(大约在 91 行)添加如下一行 obj-$(CONFIG_TOSHIBA) += obj-$(CONFIG_I8K) += obj-$(CONFIG_DS1620) += obj-$(CONFIG_HW_RANDOM) += hw_random/ obj-$(CONFIG_HELLO_MODULE) += obj-$(CONFIG_PPDEV) += (4)、运行 make menuconfig 配置内核对 helloworld 程序的支持: [root@localhost make distclean [root@localhost make menuconfig 先加载内核配置单,如图: 然后进入到 Device Drivers --->菜单中如图:

通信系统仿真实验报告(DOC)

通信系统实验报告——基于SystemView的仿真实验 班级: 学号: 姓名: 时间:

目录 实验一、模拟调制系统设计分析 -------------------------3 一、实验内容-------------------------------------------3 二、实验要求-------------------------------------------3 三、实验原理-------------------------------------------3 四、实验步骤与结果-------------------------------------4 五、实验心得------------------------------------------10 实验二、模拟信号的数字传输系统设计分析------------11 一、实验内容------------------------------------------11 二、实验要求------------------------------------------11 三、实验原理------------------------------------------11 四、实验步骤与结果------------------------------------12 五、实验心得------------------------------------------16 实验三、数字载波通信系统设计分析------------------17 一、实验内容------------------------------------------17 二、实验要求------------------------------------------17 三、实验原理------------------------------------------17 四、实验步骤与结果------------------------------------18 五、实验心得------------------------------------------27

基于simulink的综合通信实验报告

湖南科技大学 信息与电气工程学院《课程设计报告》 题目:综合通信系统课程设计 专业:*** 班级:*** 姓名:*** 学号:***

任务书 题目综合通信系统课程设计 时间安排第七学期的第19-20两周 目的: 1、掌握通信系统的基本构成; 2、掌握通信系统工作原理; 3、了解通信系统设计的基本过程;掌握基本理论和解决实际问题的方法,锻炼学生综合分析问题解决问题的能力。 4、为学生的毕业设计和以后的工作打下良好的基础。 要求: 课程设计前,学生必须知道课程设计的目的以及教师所规定的任务及其具体要求,有针对性地进行预习和设计。课程设计时,学生必须遵守实验室纪律,严格考勤登记,服从指导老师和实验室工作人员的安排。课程设计结束后,学生必须向所指导教师提交课程设计报告,且课程设计报告要求字迹清楚,版面整洁,报告内容包括调试过程和结果以及心得体会。 总体方案实现:本课程设计主要是利用simulink、通信系统工具箱以及信号处理工具箱来完成通信系统的设计与仿真。Simulink是MATLAB提供的实现动态系统建模和仿真的一个软件包,许多工具箱里的模块都被封装成了Simulink模块。MATLAB中的通信系统工具箱是一个运算函数和仿真模块的集合体,可以用来进行通信领域的研究、开发、系统设计和仿真。使用MATLAB软件,设计通信系统,配置各个通信组成部分的参数,通过仿真可以得到仿真波形,很明显的可以观察到参数不同仿真结果不尽相同。 指导教师评语:

一、设计目的和任务 综合通信系统课程设计是电子信息工程专业和通信工程专业教学的一个实践性与综合性环节,是电子信息工程专业及通信工程专业各门课程的综合以及通信、信息、信号处理等基本理论与实践相结合的部分。主要是为了让学生利用所学的专业理论知识以及实践环节所积累的经验,结合实际的通信系统的各个环节,设计出一个完整综合通信系统,并进一步加深学生对通信系统的深入理解,培养学生设计通信系统的能力,为毕业设计和以后的工作打下良好的基础。 1、设计目的: 1、掌握通信系统的基本构成; 2、掌握通信系统工作原理; 3、了解通信系统设计的基本过程;掌握基本理论和解决实际问题的方法,锻炼学生综合分析问题解决问题的能力。 5、为学生的毕业设计和以后的工作打下良好的基础。 2、设计任务: 1、设计通信系统的各个环节; 2、将上述设计好的各个环节设计成一个综合通信系统。 二、设计工具介绍 本课程设计主要是利用simulink、通信系统工具箱以及信号处理工具箱来完成通信系统的设计与仿真。 1、Simulink Simulink是MATLAB提供的实现动态系统建模和仿真的一个软件包。它让用户把精力从编程转向模型的构造,经常与其它工具箱一起使用,实际上,许多工具箱里的模块都被封装成了Simulink模块。 2、通信系统工具箱及其功能 2.1 通信系统工具箱概述 MATLAB中的通信系统工具箱是一个运算函数和仿真模块的集合体,可以用来进行通信领域的研究、开发、系统设计和仿真。通信系统工具箱中包含的模块

通信综合实训系统实验报告

. 通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1.通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2.掌握程控交换机配置数据的意义及原理; 3.根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023分配到ASLC板 卡的0~23端口,并用7000000拨打7000001电话,按照实验指导书方法创建模拟用户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机1套 维护终端若干 电话机若干 四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】,出现如下的对话框,输入操作员名【SYSTEM】,口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”----“告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号1,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】,点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】,当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号2,MP内存128,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]:

相关文档
最新文档