数字逻辑电路模拟试卷(DOC)

数字逻辑电路模拟试卷(DOC)
数字逻辑电路模拟试卷(DOC)

数字逻辑电路模拟试卷

一、填空题(每题1分,共计10分)

1、十进制数76用8421BCD码表示为_____________。

2、74LS148优先编码器的使能端=0,输入端I7至I0的输入为11010110时,

输出A2A1A0为____________。

3、根据存储数据的原理不同,RAM存储器分为动态存储器(英文简称为________)和静态存储器(英文简称为SRAM)。

4、某存储器可储存256字(Word),存储容量为2048位(bit),则该存储器的字长为_______位。

5、从数字电路的结构上看,________电路不仅有逻辑门电路,还有存储电路,

具有记忆功能。

二、选择题(每题1分,共计10分) 1、下列等式成立的是( D )。

A .AB+AC+BC=A+B+C

B .(A+B)(A+C)=A+B+

C C .C B A B A AC ++=+__

D .C B A C B A ??=++ 2、在CP 作用下,欲使D 触发器具有Q

n+1

=__n

Q 的功能,其D 端应接( D )。

A .1

B .0

C .n Q

D .__

n

Q

3、4个触发器可以构成最大计数长度(进制数)为( C )的计数器。

A .4

B .8

C .16

D .10 4、施密特触发器不可用于对脉冲信号的( D )。

A . 波形变换

B .脉冲整形

C . 脉冲鉴幅

D .数模转换 5、用555定时器不能构成( D )。

A.多谐振荡器B.单稳态触发器C.施密特触发器D.JK触发器三、判断题(每题1分,共计10分)

(T )1、与模拟电路相比,数字电路具有较强的抗干扰能力。

(T)2、逻辑函数的任意两个不同的最小项之积为0。

(F)3、译码器74LS138,在正常译码状态下,输出端只有一个为1 ( T)4、同步时序逻辑电路中,各个触发器的输入信号相同。

( T )5、多谐振荡器没有稳定的输出状态。

四、逻辑分析与简单电路设计(每题5分,共计30分)

1、根据逻辑图所示的逻辑关系,写出逻辑函数表达式(5分不需要化简)

逻辑函数表达式为:

2、列出函数

)C

B

)(

B

A(

F+

+

=的真值表。(5分)

A B C F

000 1

0010

010

011

100

101

110

3、利用卡诺图化简函数 D C C B C A C B A F +++= (5分)

化简结果为:F=AB+CD+AC

1 1 1 1 1 1 1 1

1

1

1

00 01 11 10

CD AB 00 01 11 10

4、利用74LS138译码器集成电路芯片,实现逻辑函数

的功能。(5分)

ABC

C B A BC A C B A F +++=Y 0Y 1Y 2Y 3Y 4Y 5Y 6Y 7

A 2

A 1

A 0

G 1

G 2A

G 2B

74LS138

F &

5、利用74LS151数据选择器集成电路芯片,实现逻辑函数

的功能。(5分)

6、利用74LS161计数器器集成电路芯片,采用置数法构成12进制计数器。(5

D 0

D 1

D 2

D 3

D 4

D 5

D 6

D 7

A 2A 1A 0

G

74LS151

Y

W

ABC

C B A BC A C B A F +++=

分)

A3A2A1A0LD

CP

ET EP

RCO 74LS161

Q3Q2Q1Q0

R D

五、组合逻辑电路分析(共计15分)

(1)根据电路写出逻辑表达式。(3分)

(2)写出“与或”逻辑表达式(3分)

&

&

&

A

B

C F

(3)函数真值表(4分)

A B C F

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

1 1 1

(4)分析电路功能(4分)

六、时序逻辑电路分析(15分)

1、写出电路的驱动方程。(3分)

2、写出电路的状态方程。(3分)

3、写出电路的输出方程。(2分)

F &

&

Q0 J0

K0 J1

K1

J2

K2

Q1

Q2

1 cp

4、写出电路的状态转换表。(4分)

n

n

n Q

Q

Q

1

2

1

1

1

1

2

+

+

+n

n

n Q

Q

Q F

5、画出电路的状态转换图(3分,只要求画出主循环部分)

七、存储器电路分析(10分)

1、根据如图电路,写出地址单元内容。(4分)

A1 A0 D3 D2 D1

D0

0 0 0 1 1 0 1 1

A1A0

2-4

译码器

D0

D1D2D3W0

W1

W2

W3

2、如图所示的存储器位扩展电路中,地址总线位,数据总线位,

存储容量为KB。(6分)

A0 A11 R/W

A0A11

R/W CS

A0A11

R/W CS

A0A11

R/W CS

A0A11

R/W CS

RAM(1)

4K×4

RAM(2)

4K×4

RAM(3)

4K×4

RAM(4)

4K×4

I/O0I/O1I/O2I/O3

D0D1D2D3

I/O0I/O1I/O2I/O3

D4D5D6D7

I/O0I/O1I/O2I/O3

D8D9D10D11

I/O0I/O1I/O2I/O3

D12D13D14D15

CS

数字电路与数字逻辑实验指导书

数字电路与数字逻辑 实验指导书

目录 实验一:Quartus II软件操作 (3) 实验二:数据选择器和译码器功能验证 (14) 实验三:数据选择器和译码器应用 (17) 实验四:触发器的应用 (19) 实验五:计数器的功能验证 (21) 实验六:计数器的应用 (22) 实验七:寄存器的功能验证 (23) 附录: (24)

实验一:Quartus II软件操作 实验目的和要求: 1、了解并掌握QuartusII软件的使用方法。 2、了解并掌握仿真(功能仿真及时序仿真)方法及验证设计正确性。 3、了解并掌握EDA QuartusII中的原理图设计方法。 实验内容: 本实验通过简单的例子介绍FPGA开发软件QuartusII的使用流程,包括图形输入法的设计步骤和仿真验证的使用以及最后的编程下载。 图形编辑输入法也称为原理图输入设计法。用Quartus II的原理图输入设计法进行数字系统设计时,不需要了解任何硬件描述语言知识,只要掌握数字逻辑电路基本知识,就能使用QuartusII提供的EDA平台设计数字电路或系统。 QuartusII的原理图输入设计法可以与传统的数字电路设计法接轨,即把传统方法得到的设计电路的原理图,用EDA平台完成设计电路的输入、仿真验证和综合,最后编程下载到可编程逻辑器件(FPGA/CPLD)或专用集成电路(ASIC)中。实验步骤: 在QuartusII中通过原理图的方法,使用与门和异或门实现半加器。 第1步:打开QuartusII软件。 第2步:新建一个空项目。 选择菜单File->New Project Wizard,进入新建项目向导。如下图所示,填入项目的名称“hadder”,默认项目保存路径在Quartus安装下,也可修改为其他地址,视具体情况而定。

东南大学 数字电路实验 第4章_时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

东南大学数字电路实验报告

东南大学电工电子实验中心实验报告 数字逻辑设计实践 实验一数字逻辑电路实验基础 学院电气工程学院 指导老师团雷鸣 地点 104 姓名 学号 __________得分实验日期

1.实验目的 (1)认识数字集成电路,能识别各种类型的数字器件和封装; (2)学习查找器件资料,通过器件手册了解器件; (3)了解脉冲信号的模拟特性,了解示波器的各种参数及其对测量的影响,了解示波器探头的原理和参数,掌握脉冲信号的各项参数; (4)了解逻辑分析的基本原理,掌握虚拟逻辑分析的使用方法; (5)掌握实验箱的结构、功能,面包板的基本结构、掌握面包板连接电路的基本方法和要求; (6)掌握基本的数字电路的故障检查和排除方法。 2.必做实验 (1)复习仪器的使用,TTL信号参数及其测量方法 用示波器测量并记录频率为200KHz的TTL信号的上升沿时间、下降沿时间、脉冲宽度和高、低电平值。 接线图 理论仿真TTL图像 TTL实验数据表格

(2)节实验:电路安装调试与故障排除 要求:测出电路对应的真值表,并进行模拟故障排查,记录故障设置情况和排查过程。 接线图 真值表 F=1,G=1 序号S1B1S2B2L 100000110100001020110 103 110040 150110 006101107111001800 001190 思考题 ①能否用表格表示U8脚输出端可能出现1的全部情况 2

②存在一个使报警器信号灯持续接通的故障,它与输入的状态无关。那么,什么是最有可能的故障? 答:两个集成电路74HC00与74HC20未加工作电压VCC并接地,造成集成电路无法工作,L一直为低电平,Led发光。 ③下列故障的现象是什么样的? a.U8脚输出端的连线开路。1答:无论S2与B2输入什么信号,都视为U4 与U5输入0信号(副驾驶有人22且安全带未扣上),会造成报警。 b.U3脚的输出停留在逻辑0。1答:无论B1输入什么信号,都视为U13输 入0信号。(驾驶座安全带扣上)1 ④当汽车开始发动,乘客已坐好,而且他的座位安全带已扣上,报警灯亮,这结果仅与司机有关,列出可能的故障,并写出寻找故障的测试顺序。 可能情况:司机未系安全带

数字电子技术第三章(组合逻辑电路)作业及答案

数字电子技术第三章(组合逻辑电路)作业及答案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S 0 =1 =1 & =1

(2)2Y A C B =+() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

数字逻辑电路实验实习31页word

实验指导 第1章数字逻辑电路实验常识 1.1、数字逻辑电路实验的一般要求 实验是数字逻辑电路课程重要的数学环节,通过实验不仅能巩固和加深理解所学的数字电子技术知识,更重要的是在建立科学实证思维方面,在掌握基本的测试手段和方法上,在电平检测,波形测绘、数据处理方面,为学生毕业后的岗位工作起到打基础的作用。尽管各个实验的目的和内容不同,但为了培养良好的学风,充分发挥学生的主观能动作用,促使其独立思考、独立完成实验并有所创新,我们对实验前、实验中和实验后分别提出如下基本要求: 1.1.1、实验前的要求 (1)认真阅读实验指导书,明确实验目的要求,理解实验原理,熟悉实验电路及集成芯片,拟出实验方法和步骤,设计实验表格。 (2)完成实验指导书中有关预习的相关内容。 (3) 初步估算(或分析)实验结果(包括各项参数和波形),写出预习 报告。 1.1.2、实验中的要求 (1) 参加实验者要自觉遵守实验室规则。 (2)严禁带电接线、拆线或改接线路。 (3)根据实验内容合理分置实验现场。准备好实验所需的仪器设备和装置并安放适当。按实验方案,选择合适的集成芯片,连接 实验电路和测试电路。

(4)要认真记录实验条件和所得各项数据,波形。发生小故障时,应独立思考,耐心排除,并记下排除故障过程和方法。实验过 程中不顺利,并不是坏事,常常可以从分析故障中增强独立工 作的能力。相反,实验“一帆风顺”不一定收获大,能独立解 决实验中所遇到的问题,把实验做成功,收获才是最大的。 (5)发生焦味、冒烟故障,应立即切断电源,保护现场,并报告指导老师和实验室工作人员,等待处理。 (6) 实验结束时,可将记录结果送有关指导老师审阅签字。经老师 同意后方可拆除线路,清理现场。 (7)室内仪器设备不准随意搬动调换,非本次实验所用的仪器设备,未经老师允许不得动用。没有弄懂仪器设备的方法前,不得贸 然使用。若损坏仪器设备,必须立即报告老师,作书面检查, 责任事故要酌情赔偿。 (8)实验要严肃认真,要保持安静,整洁的实验环境。 1.1.3、实验后的要求 实验后要求学生认真写好实验报告 1、实验报告的内容 (1)实验目的 (2)列出实验的环境条件,使用的主要仪器设备的名称编号,集成芯片 的型号、规格、功能。 (3)扼要记录实验操作步骤,认真整理和处理测试的数据,绘制实验原 理电路图和测试的波形,并列出表格或用坐标纸画出曲线。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

实验1数字逻辑电路设计

实验一组合逻辑电路设计 一、实验目的 1.掌握组合逻辑电路的功能测试 2.验证半加器与全加器的逻辑功能 3.学会二进制数的运算规律 二、实验器材 二输入四与非门74LS00 四输入二与非门74LS20 二输入四异或门74LS86 三、实验内容 内容A 一位全加/全减器的实现 电路做加法还是做减法由M控制。当M=0时做加法运算,M=1时做减法运算,当作为全加器输入信号A、B和Cin分别作为加数、被加数和低位来的进位,S为和数,C0向上位的进位。当作为全减器输入信号A、B和Cin分别作为减数、被减数和低位来的借位,S为差数,C0向上位的借位。 内容C 舍入与检测电路的设计 用所给定的集成电路组件设计一个多输出逻辑电路,输入为8421码.F1为四舍五入输入信号,F2为奇偶检测输出信号。当输入的信号大于或等于(5)10时,电路输出F1=1,其他情况为0;当输入代码中含1的个数为奇数是,输出F2=1,其他情况为0.框图如图所示:

四、实验步骤 内容A 一位全加/全减器的实现、 由要求得如下得: 真值表

化简得: S A B C =⊕⊕ ()()o C BC B S A C S A =?⊕?⊕ 由S 与C o 表达式画出电路图: 根据电路图,连接电路。接线后拨动开关,结果如图: 内容C 舍入与检测电路的设计 由题意得:

化简得: F A BC BD =?? 1 F A B C D =⊕⊕⊕ 2 由F1和F2表达式画出电路图 按照所示的电路图连接电路,将电路的输出端接实验台的开关,通过拨动开关输入8421代码,电路输出接实验台显示灯。每输出一个代码后观察显示灯,并记录结果如下表:

10级《数字逻辑电路》实验指导书

课程名称:数字逻辑电路实验 指导书 课时:8学时

集成电路芯片 一、简介 数字电路实验中所用到的集成芯片都是双列直插式的,其引脚排列规则如图1-1所示。识别方法是:正对集成电路型号(如74LS20)或看标记(左边的缺口或小圆点标记),从左下角开始按逆时针方向以1,2,3,…依次排列到最后一脚(在左上角)。在标准形TTL集成电路中,电源端V 一般排在左上端,接地 CC ,7脚为GND。若集端GND一般排在右下端。如74LS20为14脚芯片,14脚为V CC 成芯片引脚上的功能标号为NC,则表示该引脚为空脚,与内部电路不连接。 二、TTL集成电路使用规则 1、接插集成块时,要认清定位标记,不得插反。 2、电源电压使用范围为+4.5V~+5.5V之间,实验中要求使用Vcc=+5V。电源极性绝对不允许接错。 3、闲置输入端处理方法 (1) 悬空,相当于正逻辑“1”,对于一般小规模集成电路的数据输入端,实验时允许悬空处理。但易受外界干扰,导致电路的逻辑功能不正常。因此,对于接有长线的输入端,中规模以上的集成电路和使用集成电路较多的复杂电路,所有控制输入端必须按逻辑要求接入电路,不允许悬空。 (也可以串入一只1~10KΩ的固定电阻)或接至某一 (2) 直接接电源电压V CC 固定电压(+2.4≤V≤4.5V)的电源上,或与输入端为接地的多余与非门的输出端相接。 (3) 若前级驱动能力允许,可以与使用的输入端并联。 4、输入端通过电阻接地,电阻值的大小将直接影响电路所处的状态。当R ≤680Ω时,输入端相当于逻辑“0”;当R≥4.7 KΩ时,输入端相当于逻辑“1”。对于不同系列的器件,要求的阻值不同。 5、输出端不允许并联使用(集电极开路门(OC)和三态输出门电路(3S)除外)。否则不仅会使电路逻辑功能混乱,并会导致器件损坏。 6、输出端不允许直接接地或直接接+5V电源,否则将损坏器件,有时为了使后级电路获得较高的输出电平,允许输出端通过电阻R接至V ,一般取R=3~ cc 5.1 KΩ。

数电组合逻辑电路练习题

数电组合逻辑电路练习题 一、填空题 1. 如果对键盘上108个符号进行二进制编码,则至少要 位二进制数码2. C A AB Y +=,Y 的最简与或式为 。 3. TTL 电路如图1,电路的逻辑表达式F 。 图 1 4.四输入TTL 或非门,在逻辑电路中使用时,有2个输入端是多余的,应将多余端接 。 5. 在TTL 、CMOS 逻辑族中,在电源电压值相同时,噪声容限大的是_______________. 6.F=A B +BD+CDE+A D 最简的与或式是_______________. 7.试将函数F A B C AC BC AC A B AB (,,)()=++++,简化成与或表达式F =_____________. 8. 请分析图示TTL 器件组成的电路,填写所列的真值表. 9.请写出下图S 的表达式 。CO 的表达式 。

1. 7 ,2.AC AB +, 3.A+B , 4.接地, 接低电平或并联使用, 5.CMOS 逻辑;6. D B A +;7. F =C B +; 9.S=B A ⊕ ;CO=AB 二、是非题 (注:请在每小题后用"√"表示对,用"×"表示错) 1.图1TTL 电路逻辑表达式F=A 。 图 2.图2电路输出函数 F =B A + 。 3. 凡是用与非门构成的逻辑电路一定是组合电路。 4. CMOS 门的输出结构和TTL 的类似,可以分成标准的、漏极开路及3态输出三种 。 5. 十进制是7,它的8421BCD 码是0111。 6. 如果与非门输入端均为高电平,那么它所带的是灌电流负载 。 7. 一个16选一的数据选择器,其地址输入(选择控制输入)端有16。 8.当与非门两个输入端AB 的状态由00→11时,将可能产生竞争冒险。 9. 若有变量均为A 、B 、C 、D 的两个逻辑函数F 和G ,且有F +G =1的关系,则F =1-G 的等式成立。 10. 对于共阳接法的发光二极管数码显示器,应采用高电平驱动的七段显示译码器。 1. ×; 2. ×; 3. × ; 4. √; 5. √; 6. √; 7. ×; 8. ×; 9. ×;10. ×; DD F A B

数字逻辑电路实验报告

. .. 数字逻辑电路设计 --多功能数字钟 学院:计算机科学与通信工程 专业: : 学号: 指导老师:

多功能数字钟 一、设计任务及要求 (1)拥有正常的时、分、秒计时功能。 (2)能利用实验板上的按键实现校时、校分及清零功能。 (3)能利用实验板上的扬声器做整点报时。 (4)闹钟功能 (5)在MAXPLUS II 中采用层次化设计方法进行设计。 (6)在完成全部电路设计后在实验板上下载,验证设计课题的正确性。 二、多功能数字钟的总体设计和顶层原理图 作为根据总体设计框图,可以将整个系统分为六个模块来实现,分别是计时模块、校时模块、整点报时模块、分频模块、动态显示模块及闹钟模块。

(1)计时模块 该模块使用74LS160构成的一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。二十四进制计数器用于计时,六十进制计数器用于计分和秒。只要给秒计数器一个1HZ的时钟脉冲,则可以进行正常计时。分计数器以秒计数器的进位作为计数脉冲。 用两个74160连成24进制的计数器,原图及生成的器件如下: 生成的二十四进制计数器注: 利用使能端,时钟信号,清零以及预置数功能连成24进制。

用两个74160连成的60进制计数器,原图及生成的器件如下: 生成的六十进制计数器 (2)校时模块 校时模块设计要求实现校时,校分以及清零功能。 *按下校时键,小时计数器迅速递增以调至所需要的小时位。 *按下校分键,分计数器迅速递增以调至所需要的分位。 *按下清零键,将秒计数器清零。 注意事项:①在校分时,分计数器的计数不应对小时位产生影响,因而需要屏蔽此时分计数器的进位信号以防止小时计数器计数。 ②利用D触发器进行按键抖动的消除,因为D触发器是边沿触发,在除去时钟边沿到来前一瞬间之外的绝大部分时间都不接受输入,

数字逻辑电路实验

1.1 数电实验仪器的使用及门电路逻辑功能的测试 1.1.1 实验目的 (1)掌握数字电路实验仪器的使用方法。 (2)掌握门电路逻辑功能的测试方法。 1.1.2 实验设备 双踪示波器一台 数字电路实验箱一台 万用表一块 集成芯片:74LS00、74LS20 1.1.3 实验原理 图1.1是TTL系列74LS00(四2输入端与非门)的引脚排列图。 Y A B 其逻辑表达式为:=? 图1.2是TTL系列74LS20(双4输入端与非门)的引脚排列图。 Y A B C D 其逻辑表达式为:=??? 与非门的输入中任一个为低电平“0”时,输出便为高电平“1”。只有当所有输入都为高电平“1”时,输出才为低电平“0”。对于TTL逻辑电路,输入端如果悬空可看作逻辑“1”,但为防止干扰信号引入,一般不悬空。对于MOS逻辑电路,输入端绝对不允许悬空,因为MOS电路输入阻抗很高,受外界电磁场干扰的影响大,悬空会破坏正常的逻辑功能,因此使用时一定要注意。一般把多余的输入端接高电平或者和一个有用输入端连在一起。 1.1.4 实验内容及步骤 (1)测量逻辑开关及电平指示功能 用导线把一个数据开关的输出端与一个电平指示的输入端相连接,将数据开关置“0”位,电平指示灯应该不亮。将数据开关置“1”位,电平指示灯应该亮。以此类推,检测所有的数据开关及电平指示功能是否正常。

(2)检测脉冲信号源 给示波器输入脉冲信号,调节频率旋钮,可观察到脉冲信号的波形。改变脉冲信号的频率,示波器上的波形也应随之发生变化。 (3)检测译码显示器 用导线将四个数据开关分别与一位译码显示器的四个输入端相连接,按8421码进位规律拨动数据开关,可观察到译码显示器上显示0~9十个数字。 (4)与非门逻辑功能测试 ①逻辑功能测试 将芯片74LS20中一个4输入与非门的四个输入端A、B、C、D分别与四个数据开关相连接,输出端Y与一个电平指示相连接。电平指示的灯亮为1,灯不亮为0。根据表1.1中输入的不同状态组合,分别测出输出端的相应状态,并将结果填入表中。 表1.2 ②与非门对脉冲信号的反相传输及控制功能的测试 将芯片74LS00中一个2输入与非门的A输入端接频率为1kHz脉冲信号,B输入端接数据开关,输出端Y接示波器。用双踪示波器同时观察A输入端的脉冲波形和输出端Y的波形,并注意两者之间的关系。按表1.2中的不同输入方式测试,将结果填入表中。 1.1.5 预习要求与思考题 (1)阅读实验原理、内容及步骤。 (2)了解集成芯片引脚的排列规律。 (3)TTL集成电路使用的电源电压是多少? (4)TTL与非门输入端悬空相当于输入什么电平?为什么? (5)如何处理各种门电路的多余输入端。 1.1.6 实验报告及要求 (1)画出规范的测试电路图及各个表格。

最新数字逻辑电路指导书合集

实验一 门电路逻辑功能及测试 一.实验目的 1.熟悉门电路逻辑功能 2.熟悉数字电路学习机使用方法 二.实验仪器及材料 1.DVCC-D2JH 通用数字电路实验箱 2.器件 74LS00 二输入端四与非门 1片 74LS08 二输入端四与门 1片 74LS86 二输入端四异或门 1片 74LS32 二输入端四或门 1片 2、按附录中引脚图接线,分别验证或门74LS32、与门74LS08、异或门74LS86的逻辑功能 3、信号对门的控制作用 利用与非门控制输出.

用一片74LS00按图接线, S接任一电平开关,用发光二极管观察 S对输出脉冲的控制作用. 四.实验报告 1.按各步聚要求填表。 2.回答问题: (1)怎样判断门电路逻辑功能是否正常? (2)与非门一端输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过? 实验二组合逻辑电路(半加器、全加器及逻辑运算) 一、实验目的 1、掌握组合逻辑电路的功能测试 2、验证半加器和全加器的逻辑功能 二、实验器件 74LS00 二输入端四与非门1片 74LS86 二输入端四异或门1片 74LS32 二输入端四或门1片 74LS08 二输入端四与门1片 三、实验内容 1、测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或, 而进位Z是A、B相与。故半加器可用一个 集成异或门和二个与非门组成如右图 (1)在学习机上用异或门和与门接成以上电路。 A、B接电平开关Y、Z接电平显示。 (2)按下表要求改变A、B状态,填表

2、测试全加器的逻辑功能。 (1)按右图接线,A 、B 、C 接电平开关, SO 、C 接发光二极管 (2)按下表要求改变A 、B 、C 状态,填表 四、实验报告 (1)按要求填表 (2)分析如何使用适当的门电路实现半加器与全加器的功能 实验三 译码器、数据选择器和总线驱动器

东南大学数字电路期末试卷

数字电路期末试卷一 一、设计一个模18计数器(共40分) 要求:1.设计电路,写出设计过程并将逻辑图画在答题纸;(15分) 2.用单脉冲或秒脉冲验证实验结果;(由老师检查)(15分) 3.用示波器或者逻辑分析仪观察并记录时钟与个位的低两位信号(Q1、Q0)波形。(10分) 二、设计一个具有自启动功能的序列信号发生器1011 (共60分) 要求:1.设计出电路图,写出设计过程并将逻辑图画在答题纸上;(20分) 2.根据设计搭试电路;(15分) 3.用指示灯验证电路的正确性,并检查该电路是否具有自启动功能;(15分) 4.用示波器或者逻辑分析仪观察波形,并将测试结果画在答题纸上。(由老师检查)(10分)

一、设计一个模18计数器(共40分) 要求:1.设计电路,写出设计过程并将逻辑图画在答题纸;(15分) 评分标准:原理图完全正确15分;若其中低位或者高位单独正确给5分; 如果两个单独均正确但级联错误给10分;接地不画扣2分。 2.用单脉冲或秒脉冲验证实验结果.(由老师检查)(15分) 3.记录结果(10分) 评分标准::相位对齐6分(每个输出端信号3分),画满一个周期3分,方波边沿画出1分。 二、1. 评分标准:原理图正确20分,输入没有使能端扣3分,接地不画扣2分。2.根据设计搭试电路;(15分) 3.用指示灯验证电路的正确性,并检查该电路是否具有自启动功能;(15分) 评分标准:实验操作,仪器使用5分,指示灯验证和自启动功能检查15分 4.用示波器或者逻辑分析仪观察波形,并将测试结果画在答题纸上.(由老师检查)(10分) 评分标准:波形观察记录,相位对齐6分,至少画满一个周期(3分),且画出边沿(1分)10分

数电实验 组合逻辑电路

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 专业: 电子信息工程 姓名: 学号: 日期: 装 订 线

3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai,加数Bi,低位进位Ci-1输出:和Si,进位Ci 实验名称:组合逻辑电路 姓名:学号: 列真值表如下:画出卡诺图: 根据卡诺图得出全加器的逻辑函数:S= A⊕B⊕C; C= AB+(A⊕B)C 为使得能在现有元件(两个74LS00 与非门[共8片]、三个74LS55 与或非门)的基础上实现该逻辑函数。所以令S i-1=!(AB+!A!B),Si=!(SC+!S!C), Ci=!(!A!B+!C i-1S i-1)。 仿真电路图如下(经验证,电路功能与真值表相同):

实验一 原理图输入方式设计数字逻辑电路

实验一原理图输入方式设计数字逻辑电路 一、实验目的: 1、了解基本组合逻辑电路的原理及利用Quartus II 软件进行设计的一般方法。 2、熟悉Quartus II 原理图输入法的设计流程,掌握编辑、编译和仿真的方法。 3、掌握原理图的层次化设计方法。 4、了解Quartus II 软件的编程下载及引脚锁定的方法。 5、了解Quartus II宏功能模块的使用方法。 二、实验的硬件要求: 1、EDA/SOPC实验箱。 2、计算机。 三、实验原理 见附件《Quartus设计的一般步骤》、《元件例化和调用的操作步骤》、《QuartusII基于宏功能模块的设计》 四、实验内容: 1、用原理图方式设计1位二进制半加器半加器。 新建一个工程“HalfAdder”,选择芯片“Cyclone III EP3C16Q240C8”,建立原理图如图1-1,保存为“HalfAdder.BDF”。 图1-1 半加器电路图 编译工程。 建立波形文件,对半加器电路分别进行时序仿真和功能仿真,其波形如下: 图1-2半加器时序仿真波形,注意观察输出延时,以及毛刺的产生原因 图1-3半加器功能仿真波形 2、原理图层次化设计。 新建一工程,取名“FullAdder”;将上面设计的半加器“HalfAdder.BDF”复制到当前工程目录,并生成“符号元件”HalfAdder.BSF。 建立一个原理图文件,取名“FullAdder.BDF”,利用“符号元件”HalfAdder.BSF及其它元件设计全加器电路如下图:

用功能仿真测试全加器的逻辑功能。 图1-5 全加器功能仿真波形 图1-6是输入输出信号与FPGA连接示意图,图中用到了“拨档开关”作为输入,“LED 显示模块”显示输出值。表1-1是本实验连接的FPGA管脚编号。

数电逻辑门电路实验报告doc

数电逻辑门电路实验报告 篇一:组合逻辑电路实验报告 课程名称:数字电子技术基础实验指导老师:樊伟敏 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填)三、主要仪器设备(必填)五、实验数据记录和处理七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门) 74LS55(与或非门) 74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 四、操作方法和实验步骤 六、实验结果与分析(必填)

实验报告 (一) 一位全加器 1.1 实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 1.2 实验内容:用 74LS00与非门和 74LS55 与或非门设计一个一位全加器电路,并进行功能测试。 1.3 设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: Si = Ai ?Bi?Ci-1 ;Ci = Ai Bi +(Ai?Bi)C i-1 异或门可通过Ai ?Bi?AB?AB,即一个与非门; (74LS00),一个与或非门(74LS55)来实现。Ci = Ai Bi +(Ai?Bi)C 再取非,即一个非门( i-1 ?Ai Bi +(Ai?Bi)C i-1 ,通过一个与或非门Ai Bi +(Ai?Bi)C i-1 ,

东南大学-数字电路实验-第4章-时序逻辑电路

东南大学-数字电路实验-第4章-时序逻辑 电路 --------------------------------------------------------------------------作者: _____________

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并 记录时钟脉冲CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波 形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制

数电实验二组合逻辑电路

数电实验二组合逻辑电路 The following text is amended on 12 November 2020.

实验二 组合逻辑电路 一、实验目的 1.掌握组和逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及器件 1.仪器:数字电路学习机 2.器件:74LS00 二输入端四与非门 3片 74LS86 二输入端四异或门 1片 74LS54 四组输入与或非门 1片 三、实验内容 1.组合逻辑电路功能测试 (1).用2片74LS00按图连线,为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 (2).图中A 、B 、C 接电平开关,Y1、Y2接发光管电平显示 (3).按表要求,改变A 、B 、C 的状态,填表并写出Y1、Y2的逻辑表达式。 (4).将运算结果与实验比较。 Y1=A+B ,C B B A Y +=2 2.测试用异或门(74LS86)和与非门组成的半加器的 逻辑功能。 根据半加器的逻辑表达式可知,半加器Y 是A 、B 的 异或,而进位Z 是A 、B 相与,故半加器可用一个集成异 或门和二个与非门组成,如图。 (1).用异或门和与非门接成以上电路。输入A 、B 接 电平开关,输出Y 、Z 接电平显示。 (2).按表要求改变A 、B 状态,填 表。 3.测试全加器的逻辑功能。 (1).写出图电路的逻辑表达式。 (2).根据逻辑表达式列真值表。 (3).根据真值表画逻辑函数SiCi 的卡诺图。 (4).连接电路,测量并填写表各输入 输出 A B C Y1 Y2 0 0 0 0 0 0 0 1 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 1 0 1 0 0 1 0 1 0 1 1 1 0 1 0 1 1 输入 输出 A B Y Z 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A i B i C i-1 Y Z X 1 X 2 X 3 S i C i 0 0 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 0 1 0 0 1 0 1 0 1 1 0 1 1 0 0 0 1 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 1 1 1 1 0 1 1 0 1

数电实验组合逻辑电路

实验二组合逻辑电路 一、实验目的 1.掌握组合逻辑电路的分析方法 2.掌握组合逻辑电路的设计方法 二、实验仪器 数字电路实验台、数字万用表、74ls00,74ls20 三、实验原理 1.组合逻辑电路的分析方法 组合逻辑电路时最常见的逻辑电路,可以用一些常用的门电路组合成具有其他功能的门电路。其分析方法是根据所给的逻辑电路,写出其输入和输出之间的逻辑函数表达式或真值表,从而确定该电路的逻辑功能。 2.组合逻辑电路的设计方法 组合逻辑电路是使用中、小规模集成电路来设计组合电路是最常见的逻辑电路,其分析方法是根据所给的组合逻辑电路,写出其输入与输出之间的逻辑函数表达式或者真值表,从而确定该电路的逻辑功能。组合电路设计的一般步骤如图所示: 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2、组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“1”。 (1)设计步骤:根据题意列出真值表如表所示,再填入卡诺图表中。

(2)根据真值表,画卡诺图 (3)由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z =ABC +BCD +ACD +ABD =ABC ACD BCD ABC ??? 根据逻辑表达式画出用“与非门”构成的逻辑电路如图所示。 多数表决电路 74LS20引脚图 3.用实验验证逻辑功能 在实验装置适当位置选定三个14P 插座,按照集成块定位标记插好集成块。 按图接线,输入端A 、B 、C 、D 接至逻辑开关输出插口,输出端Z 接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与其进行比较,验证所设计的逻辑电路是否符合要求。 三、实验内容 1.设计两个2位二进制码比较器,试用最少的与非门实现改功能,要求A=B 时输出为1。 2.一火灾报警系统,设有烟感、温感和紫外光感三种类型的火灾探测器。为了防止误报警,

相关文档
最新文档