编码器与解码器

编码器与解码器
编码器与解码器

编码电路设计报告

目录

一、设计任务

二、题目分析与整体构思

三、硬件电路设计

四、程序设计

五、心得体会

一.设计任务

在数字系统中,编码指将特定的逻辑信号编为一组二进制代码。能够实现编码功能的逻辑部件称为编码器。一般而言,M 个不同的信号,至少需要N 位二进制数编码。M 和N 之间

满足2N≥M 的关系。在实际工作中,同时有多个输入被编码时,必须根据轻重缓急,规定好这些控制对象允许操作的先后次序,即优先识别。能够识别信号的优先级并进行编码的逻辑部件称为优先编码器。

8-3 线优先编码器是常见编码器之一,它有8 个输入端、3 个二进制码输出端,作用是将输入X0~X7 八个状态分别编成842l 码的反码输出,优先级分别从X7~X0 递减。

使用VHDL硬件描述语言的设计方法和思想设计8-3 线优先编码器。用ISE 软件运行仿真,观察波形图,并将程序下载到FPGA,验证编码器的逻辑功能。

使用VDHL语言实现8-3 线优先编码器,操作简单、易懂,将8个拨码开关的状态作为输入,3个LED显示高低电平作为输出,很容易理解编码器的工作原理。

二.题目分析与整体构思

题目要求使用VHDL 语言设计8-3 线优先编码器。用ISE 软件运行仿真,观察波形图,并将程序下载到FPGA,验证编码器的逻辑功能。用开发板EXCD-1上的8个拨码开关的状态作为输入,3个LED显示高低电平作为输出,通过改变8个拨码开关的开关来控制3个LED的输出状态。

三.硬件电路设计

拨码开关SW7~SW0输入,D0~D2输出高低电平,通过改变8个拨码开关的开关来控制3个LED的输出状态。

四.程序设计

(1)创建工程

制定工程名,工程路径以及顶层设计所使用的输入方式,此设计我们选择硬件描述语言作为顶层设计的输入方式HDL。

(2)选择目标器件

(3)创建新源文件

这里我们选择“VHDL Module”,进行新源文件模块定义,所定义的内容是所要设计模块的实体说明,即模块的端口说明。本实验所要实现的是编码器的设计,设定SW7~SW0 为六个输入端口,共3 个输出信号D(0)~D(2),选择输出为总线模式(Bus),Msb、Lsb 分别表示最大端口号与最小端口号(注意:选择端口方向in、out、inout)。

检查模块端口定义是否正确。

(4)添加源文件

(5)完成工程创建

在工程设置统计窗口,可以看到对工程的描述总结,目标器件的描述,以及新建源文件

的总结,此工程创建完成。

(6)设计输入

包括库的声明,包的声明,完整的实体说明以及结构体框架。使用VHDL 语言设计完善基本编码器电路设计,拨动开关SW0~SW1 作为六个输入端,LED0~LED2作为输出显示,以观察实验结果。

1. LED 与编码器电路对应关系

SW7 SW6 SW5 SW4 SW3 SW2 SW1 SW0D2D1 D0

0 0 0 0 00 01000

00 00 00 1×00 1

00000 1 ××0 10

0000 1 ×××0 11

000 1 ××××100

00 1 ×××××101

0 1 ××××××110

1 ×××××××11 1

其中D2~D0 中,“0”为点亮,“1”为熄灭。

(7)仿真设计

代码输入完成后,需要对设计进行波形仿真。

有添加波形仿真文件,仿真波形文件时钟设置,设置输入信号波形和波形仿真这几个步骤。(8)设计综合

Xilinx 综合工具对设计进行行为级综合,将系统直接从行为级描述综合为寄存器传输级

描述。综合过程中主要完成三个步骤:首先为语法检查,检查设计文件语法是否有错误;其次为编译过程,翻译和优化HDL 代码,将其转换为综合工具可以识别的元件序列;最后为映射过程,将这些可识别的元件序列转换为可识别的目标技术的基本元件。

Synthesis 工具即用来完成设计综合,它可完成以下任务查看综合报告(View Synthesis Report)、查看RTL 原理图(View RTL Schematic)、查看技术原理图(Vies Technology Schematic)、检查语法(Check Syntax)、产生综合后仿真模型(Generate Post-Synthesis Simulation Model)。

(9). 引脚分配

8 线3 线优先编码器的引脚分配

信号名及对应板上资源信号名FPGA 引脚分配

输入信号(SW0)x<0> P43

输入信号(SW1)x<1> P32

输入信号(SW2)x<2> P26

输入信号(SW3)x<3> P20

输入信号(SW4)x<4> P14

输入信号(SW5)x<5> P6

输入信号(SW6)x<6> P204

输入信号(SW7)x<7> P194

输出信号(D0)y<0> P33

输出信号(D1)y<1> P31

输出信号(D2)y<2> P30

(10)设计实现

设计综合完成后,即进行设计实现(Implement)。实现过程主要分为三个步骤:翻译逻

辑网表(Translate)、映射到器件单元(Map)、布局布线(Place&Route)。在处理子窗口,鼠标双击Implement Design,信息输出窗口会显示设计信息。

(11)生成下载文件及目标板配置

处理子窗口中双击Generate Programming File,生成可编程文件。而后双击Configure Target Device,进行目标板配置。

开发板正确连接,并上电后,可在ISE 用户区看到两个可配置芯片,分别为4Mb 的平台flash 与FPGA xc3s500e台flash 与FPGA xc3s500e。同时出现平台Flash 配置文件指定窗口,绿色芯片表示当前进行配置的芯片。该设计我们选择对FPGA xc3s500e 进行配置,平台Flash 配置窗口点击Cancel。选定FPGA 芯片图标,右键单击选择program,在随后弹出的“Device

Programming Properties”对话框直接点击ok,对FPGA 进行编程。文件下载成功,则显示“Program Succeeded”可通过开发板观察相应实验现象。至此,使用ISE 软件设计基本逻辑门电路已经完成。

(12)程序代码

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity priority_encoder8_3 is

Port ( x : in STD_LOGIC_VECTOR (7 downto 0);

y : out STD_LOGIC_VECTOR (2 downto 0));

end priority_encoder8_3;

architecture Behavioral of priority_encoder8_3 is

begin

process(x)

begin

y <= "000";

for i in 0 to 7 loop

if(x(i)='1') then

y <= conv_std_logic_vector(i,3);

end if;

end loop;

end process;

end Behavioral;

五.调试

输入端SW7~SW0为: 0 0 0 0 0 0 0 1,输出D0~D2为: 000;

输入端SW7~SW0为: 0 0 0 0 0 0 1×,输出D0~D2为: 001;

输入端SW7~SW0为: 0 0 0 0 0 1××,输出D0~D2为: 010;

输入端SW7~SW0为: 0 0 0 0 1×××,输出D0~D2为: 011;

输入端SW7~SW0为: 0 0 0 1××××,输出D0~D2为: 100;

输入端SW7~SW0为: 0 0 1 ×××××,输出D0~D2为:101;

输入端SW7~SW0为: 0 1××××××,输出D0~D2为: 110;

输入端SW7~SW0为: 1×××××××,输出D0~D2为:111;

调试结果:编码器成功实现。

6.总结

通过这次设计我们了解并掌握VHDL硬件描述语言的设计方法和思想,使自己能将已学过的数字电子系统设计、VHDL 程序设计等知识综合运用于电子系统的设计中,基本掌握了运用VHDL 设计电子系统的流程和方法,加强和培养了自己对电子系统的设计能力。我们也了解了VHDL的一些知识,VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL 系统设计的基本点。VHDL语言作为一种国际标准化的硬件描述语言,自1987年获得IEEE批准以来,经过了1993年和2001年两次修改,至今已被众多的国际知名电子设计自动化(EDA)工具研发商所采用,并随同EDA设计工具一起广泛地进入了数字系统设计与研发领域,目前已成为电子业界普遍接受的一种硬件设计技术。

VHDL语言用于数字系统设计的主要优点是:

(1)允许用软件描述系统的硬件结构,即描述系统怎样分解为子系统和子系统间怎样互连。(2)允许使用类似常用编程语言形式的系统功能指标。

(3)允许对系统设计在制造前以低廉的花费进行性能模拟验证。

(4)允许设计的详细结构从更抽象的性能指标出发沿自顶向下的路线分层次地进行综合。

(5)允许设计重用和在可编程ASIC器件上生成设计芯片。

VHDL语言的运用提高了我们设计电子系统的效率,简单实用,也在此次设计中提升了我们自身运用所学知识的能力,也更加体会出作为团队中的一员要以团队思想为主题发展自己的思维。

在此感谢各位老师的指导,感谢赞助商给我们站在这个独特舞台上展现自己的机会。

编码器和译码器的应用

编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 2、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 1、什么是编码: 教材说:用文字、符号、或者数字表示特定对象的过程称为编码 具体说:编码的逻辑功能是把输入的每个高、低电平信号编成对应的二进制代码 2、编码器74LS147的特点及引脚排列图: 74LS147是优先编码器,当输入端有两个或两个以上为低电平,它将对优先级别相对较高的优先编码。其引脚排列图: 3、什么是译码:译码是编码的逆过程,把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出,译码器广泛用于代码转换、终端的数字显示、数据分配、组合控制信号等。 译码器按照功能的不同,一般分为三类:二进制译码器、二—十进制译码器、显示译码器。 (1)变量译码器(用以表示输入变量的状态) 74LS138的特点及其引脚排列图:反码输出。 ABC是地址输入端,Y0—Y7是输出端,G1、G2A’、G2B’为 使能端,只有当G1=G2A’=G2B’=1时,译码器才工作。 (2)码制变换译码器:用于同一个数据的不同代码之间的相互转换,代表是4—10线译码器 译码器74LS42的特点及其引脚排列图: 译码器74LS42的功能是将8421BCD码译成10个对象 其原理与74LS138类同,只不过它有四个输入端, 十个输出端,4位输入代码0000—1111十六种状态组合

其中有1010—1111六个没有与其对应的输出端, 这六组代码叫做伪码,十个输出端均为无效状态。 (3)数码显示与七段译码驱动器:将数字、文字、符号的代码译成数字、文字、符号的电路 a、七段发光二极管数码显示管的特点:(共阴极) b、七段译码驱动器: 4、在本数字电路实验装置上已完成了译码器74LS48和数码管之间的连接图。 三四五脚接高电频,数码管的单独端接低电频。

电机编码器解码器

maxon motor maxon motor control Encoder HEDL 550_Technical Documentation April 2000 edition The latest edition of these operating instructions may also be found in the internet under:https://www.360docs.net/doc/be8803777.html,/Service&Support/Downloads/Tacho.htm Encoder Line Drivers Technical Data HEDL-550X/554X HEDL-556X/557X HEDL-560X/564X HEDL-9000/9100/9200HEDL-9040/9140 HEDL-9060/9160/9260HEDL-9061/9161 Features ? Available on Both Encoder Modules (HEDS-9000Series) and Encoder Kit Housings (HEDS-5500Series) ? Complementary Outputs ? Industry Standard Line Driver IC ? Single 5 V Supply ? Onboard Bypass Capacitor ? 70°C and 100°C Versions Available Description Line Drivers are available for the HEDS-55XX/56XX series and the HEDS-9000/9100/9200/9040/ 9140 series encoders. The line driver offers enhanced perform-ance when the encoder is used in noisy environments, or when it is required to drive long distances.The 70°C version utilizes an industry standard line driver IC (26LS31) which provides comple-mentary outputs for each encoder channel. The 100°C version utilizes an industry standard line driver IC, 26C31, which provides complementary outputs for each encoder channel. Thus, the output of the line driver encoder is A, A, B, B and I/I for three channel versions. Suggested line receivers are 26LS32 and 26LS33. For additional information, please refer to: HEDS-5500/5540/5600/5640data sheet, HEDS-90X0/91X0/92X0 data sheets, HEDS-9000 series extended resolution data sheet, and 26LS31 data sheet.Device Characteristics ESD WARNING: NORMAL HANDLING PRECAUTIONS SHOULB BE TAKEN TO AVOID STATIC DISCHARGE also refer to: https://www.360docs.net/doc/be8803777.html,/motion/hedl550x.html

实验4 组合逻辑电路设计(编码器和译码器)

实验四 组合逻辑电路设计(编码器和译码器) 一、【实验目的】 1、 验证编码器、译码器的逻辑功能。 2、 熟悉常用编码器、译码器的逻辑功能。 二、【实验原理】 1.编码器 编码器是组合电路的一部分,就是实现编码操作的电路,编码实际上是和译码相反的过程。按照被编码信号的不同特点和要求,编码也分成三类: (1)二进制编码器:如用门电路构成的4-2线,8-3线编码器等。 (2)二—十进制编码器:将十进制0~9编程BCD 码,如10线十进制-4线BCD 码编码器74LS147等。 (3)优先编码器:如8-3线优先编码器74LS148等。 2.译码器 译码器是组合电路的一部分。所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: (1)二进制译码器:如中规模2-4线译码器74LS139,3-8线译码器74LS138等。 (2)二—十进制译码器:实现各种代码之间的转换,如BCD 码——十进制译码器74LS145等。 (3)显示译码器:用来驱动各种数字显示器,如共阴数码管译码器驱动74LS48,共阳数码管译码驱动74LS47等。 三、【实验内容与步骤】 1.编码器实验 将10—4线(十进制—BCD 码)编码器74LS147集成片插入IC 空插座中,管脚排列如下图4-1所示。按下图4-2接线,其中输入端1~9通过开关接高低电平(开关开为“1”、开关关为“0”),输出Q D 、Q C 、Q B 、Q A 接LED 发光二极管。接通电源,按表输入各逻辑电平,观察输出结果并填入表4-1中。 45678QC QB Ucc NC QD 3 2 1 GND QA 图4-1 74LS147集成芯片管脚分布图

3 译码器和编码器的仿真实验报告

实验三译码器与编码器的设计与仿真 一、实验内容 1.参照芯片74LS138的电路结构,用VHDL语言设计3-8译码器; 2.参照芯片74LS148的电路结构,用VHDL语言设计8-3优先编码器。二、电路功能介绍 1.74148:8-3优先编码器(8 to 3 Priority Encoder) 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。译码器与编码器的功能正好相反。 2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。如下图所示。 一、编码器 1.VHDL实现 library IEEE; use IEEE.std_logic_1164.all; entity pencoder is port ( i7,i6,i5,i4,i3,i2,i1,i0:in STD_LOGIC; a2,a1,a0,idle:out STD_LOGIC); 解 码 信 号 输 出 端低 电 平 有 效 代 码 输入 端 使能输入端

end pencoder; architecture pencoder_arch of pencoder is signal h:STD_LOGIC_VECTOR(7 downto 0); begin h(7)<=i7; h(6)<=i6 and not i7; h(5)<=i5 and not i6 and not i7; h(4)<=i4 and not i5 and not i6 and not i7; h(3)<=i3 and not i4 and not i5 and not i6 and not i7; h(2)<=i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(1)<=i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(0)<=i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; idle<=not i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; a0<=h(1) or h(3) or h(5) or h(7); a1<=h(2) or h(3) or h(6) or h(7); a2<=h(4) or h(5) or h(6) or h(7); 2.波形图:

编码器、译码器及应用电路设计

实验六编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 1、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 编码是用文字、符号或者数字表示特定对象的过程,在数字电路中是用二进制数进行编码的,相应的二进制数叫二进制代码。编码器就是实现编码操作的电路。本实验使用的是优先编码器74LS147,当输入端有两个或两个以上为低电平时,将对输入信号级别相对高的优先编码,其引脚排列如图6—1所示。 图6—1 74LS147引脚排列图图6—2 74LS138引脚排列图译码是编码的逆过程,是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器按照功能的不同,一般分为三类: 1、变量译码器(二进制译码器):用以表示输入变量的状态,如2—4线、3—8线、4—16线译码器。以3—8线译码器74LS138为例介绍: 图6—2为74LS138的引脚图,其中,A2A1A0为地址输入端,为译码器输出端,为使能端(只有当时,才能进行译码)。 图6—3 74LS42引脚排列图图6—5为CC4511引脚排列图 2、码制变换译码器:用于同一个数据的不同代码之间的相互变换。这种译码器的代表是4—10线译码器,它的功能是将8421BCD码译为十个对象,如74LS42等。它的原理与 74LS138译码器类同,只不过它有四个输入端,十个输出端。4位输入代码共有0000—1111

译码器和编码器实验

实验三译码器和编码器 一实验目的 1.掌握译码器、编码器的工作原理和特点。 2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。 二、实验原理和电路 按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。 组合逻辑电路的分析方法:根据逻辑图进行二步工作: a.根据逻辑图,逐级写出函数表达式。 b.进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。一般分四步进行。 a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。 b.列真值表。 c.进行化简:变量比较少时,用图形法。变量多时,可用公式化简。 d.画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。 1.译码器 译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: a.二进制译码器:如中规模2—4线译码器74LS139。,3—8线译码器74LS138等。 b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。 c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。 2.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类: a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二—十进制编码器:将十进制的0~9编成BCD码,如:10线十进制—4线BCD码编码器74LS147等。 c.优先编码器:如8—3线优先编码器74LS148等。 三、实验内容及步骤 1.译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC 空插座中。 按图1.3.1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3的状态(亮为“1”,灭为“0”),并将结果填入表1.3.1中。

解码器与编码器的区别

解码器与编码器的区别 解码器 在多媒体方面,编码器主要把模拟视音频信号压缩数据编码文件,而解码器把数 据编码文件转为模拟视音频信号的过程。 解码器的分类: 解码器按照云台供电电压分为交流解码器和直流解码器。交流解码器为交流云台 提供交流230V或24V电压驱动云台转动;直流云台为直流云台提供直流12V或24V 电源,如果云台是变速控制的还要要求直流解码器为云台提供0-33或36V直流电压信号,来控制直流云台的变速转动。 按照通讯方式分为单向通讯解码器和双向通讯解码器。 单向通讯解码器只接收来自控制器的通讯信号并将其翻译为对应动作的电压/电流 信号驱动前端设备; 双向通讯的解码器除了具有单向通讯解码器的性能外还向控制器发送通讯信号, 因此可以实时将解码器的工作状态传送给控制器进行分析,另外可以将报警探测器等 前端设备信号直接输入到解码器中由双向通讯来传诵现场的报警探测信号,减少线缆 的使用。 按照通讯信号的传输方式可分为同轴传输和双绞线传输。一般的解码器都支持双绞 线传输的通讯信号,而有些解码器还支持或者同时支持同轴电缆传输方式,也就是将 通讯信号经过调制与视频信号以不同的频率共同传输在同一条视频电缆上。 解码器的电路是以单片机为核心,由电源电路、通讯接口电路、自检及地址输入电路、输出驱动电路、报警输入接口等电路组成。 解码器一般不能单独使用,需要与系统主机配合使用。 ●解码器到云台、镜头的连接线不要太长,因为控制镜头的电压为直流12伏左右,传输太远则压降太大,会导致镜头不能控制。另外由于多芯控制电缆比屏蔽双绞线要贵,所以成本也会增加。 ●室外解码器要做好防水处理,在进线口处用防水胶封好是一种不错的方法,而且 操作简单。 ●从主机到解码器通常采用屏蔽双绞线,一条线上可以并联多台解码器,总长度不超过1500米(视现场情况而定)。如果解码器数量太大,需要增加一些辅助设备,如增加控制码分配器或在最后一台解码器上并联一个匹配电阻(以厂家的说明为准)。

密勒码编码器和解码器的设计

密勒码编码器和解码器的设计 1、基本原理 密勒码又称延迟调制码,它是双相码的一种变形。它的编码规则如下:“1”码用码元中心点出现跃变来表示,即用“10”或“01”表示。“0”码有两种情况:单个“0”时,在码元持续时间内不出现电平跃变,且与相邻码元的边界处也不跃变,连“0”时,在两个“0”码的边界处出现电平跃变,即“00”与“11”交替 由图可知双相码下跳沿对应着密勒码的跳变沿。因此用双相码的下降沿去触发双稳态电路即可输出密勒码。 2、设计方案 Miller码的主要特点是:(1)由编码规则可知,当信码序列出现“101”时,Miller码出现最大脉冲宽度为两个码元周期,而信码出现连“0时,它的最小脉冲宽度为一个码元周期,这一性质可用于进行误码检测。(2)比较双相码与Miller 码的码型,可以发现后者时前者经过一级触发器得来。 编译码过程如下: 编码: 1)、将NRZ码与位同步信号BS相异或,生成信号作为D0触发器的输入,D0触发器采用2BS频率的信号对其采样输出信号BPH码。 2)、BPH码取非后输入D1触发器双稳态电路,生成密勒码。 解码: 1)、将输出的密勒码输入触发器D2的D端,将2BS信号接入D2; 2)、触发器D2生成信号输入触发器D3的D端; 3)、将2BS信号接入触发器D3对密勒码进行采样,将D3生成信号与D2生成信号相异或后的信号作为触发器D4的输入。 4)、将BS信号接入触发器D4,即可输出密勒码解码输出。 编码、解码原理图如下所示:

仿真图: 波形分析:NRZ码输入为:01010011010011,BPH码输出为:01 10 01 10 01 01 10 10 01 10 01 01 10 10,密勒码输出为:00 01 11 10 00 11 10 01 11 00 01 10。观察BPH码与Miller码波形,可知BPH码下跳沿对应着密勒码的跳变沿,符合设计原理。观察NRZ输入码波形、BPH码波形、密勒码波形、译码输出码波形,BPH码波形和密勒码波形相对于NRZ码波形有0.25个码元延迟,译码输出码波形相对于NRZ码有2个码元延迟。 3、心得体会 刚接触这个课程设计,觉得对有些概念有点模糊,于是我们又重新翻开了通信原理这本书,把有关概念弄清楚,遇到不明白的地方我们相互讨论,加强对理论的理解,当然中间还涉及到了有关数电、模电的知识。接着我们把整体的思路、设计框架都大概写了出来。而在

编码器和译码器实验报告

译码器、编码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出??接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 ??????????????时,任意拨动开关,观察LED显示状态,记录观察结果。 ??????????????时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: ?? 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: ?? ?? A ? ??????????? ???? 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有 A、、三个,故要另用使能端进行片选使两片138译码器 进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试?,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 A时,应该是输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 A时,应该是输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

译码器与编码器的设计与仿真

译码器与编码器的设计与仿真 1.实验目的 a.参照芯片74LS138的电路结构,用逻辑图和VHDL语言设计3-8译码器。 b.参照芯片74LS148的电路结构,用逻辑图和VHDL语言设计8-3优先编码器。 2.实验内容的详细说明 2.1 74148:8-3优先编码器(8 to 3 Priority Encoder) 2.1.1 设计思想 先定义八个输入四个输出的实体,然后定义结构体,再定义一个进程利用利用if的嵌套来体现使能端与输入信号的优先级,再利用if和else if的结构来选择不同输入时输出信号的不同。 2.1.2 实验原理 1)优先编码器逻辑图 优先编码器逻辑图 2)优先编码器真值表

2.1.3 VHDL程序(详见附录1) 2.1.4 仿真结果 优先编码器画图-功能仿真波形图 优先编码器VHDL-功能仿真波形图2.2 74138:3-8译码器(3 to 8 Demultiplexer)2.2.1 设计思想

先定义六个输入八个输出的实体,再定义结构体和一个用来先存储输出信号组合新的信号,用with..when来将不同输入组合时将不同的输出信号赋给存储信号,当使能端输入组合为100时,将存储信号赋给输出,否则将“1111111”赋给输出信号组合。 2.2.2 实验原理 1)74138(3-8译码器)逻辑图 74138逻辑图 2)74138逻辑功能真值表 2.2.3 VHDL程序(详见附录2) 2.2.4 仿真结果

74138画图-逻辑功能仿真波形图 74138VHDL-逻辑功能仿真波形图 3.实验总结: 通过本次实验,我对优先编码器和译码器的逻辑功能有进一步的了解,了解到译码器与编码器的功能正好相反,编码器是将各种输入信号转换成一组二进制代码,而译码器则是用一组二进制代码来产生各种独立的输出信号。 4.附录(VHDL程序) 4.1 附录1 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY V74148 IS PORT( EIN : IN STD_LOGIC; D:IN STD_LOGIC_VECTOR(0 TO 7); A:OUT STD_LOGIC_VECTOR(0 TO 2) ); END V74148; ARCHITECTURE V74184_A OF V74148 IS

编码器和译码器的设计

目录 1设计目的与要求 (1) 1.1 设计的目的 (1) 1.2 设计要求 (1) 2 VHDL的简单介绍 (2) 2.1 VHDL的简介 (2) 2.2 VHDL的特点 (2) 2.3 VHDL的优势 (3) 2.4 VHDL的设计步骤 (4) 3 EDA的简单介绍 (5) 3.1 EDA的简介 (5) 3.2 EDA设计方法与技巧 (5) 4 设计过程 (7) 4.1编码器的原理 (7) 4.2译码器的原理 (7) 4.3课程设计中各部分的设计 (7) 5 仿真 (10) 5.1八-三优先编码器仿真及分析 (10) 5.2三-八译码器仿真及分析 (11) 5.3二-四译码器仿真及分析 (14) 心得体会 (13) 参考文献 (16) 附录 (17)

摘要 随着社会的发展,科学技术也在不断的进步。计算机从先前的采用半导体技术实现的计算器到现在广泛应用的采用高集成度芯片实现的多功能计算器。计算机电路是计算机的重要组成部分,了解计算机电路的知识是促进计算机的发展的先决条件。而编码器和译码器是计算机电路中的基本器件,对它们的了解可以为以后的进一步深化研究打下一个良好的基础。本设计主要介绍的是一个基于超高速硬件描述语言VHDL对计算机电路中编码器和译码器进行编程实现。 关键字:计算机编码器译码器

编码器和译码器的设计 1 设计目的与要求 随着社会的进一步发展,我们的生活各个地方都需要计算机的参与,有了计算机,我们的生活有了很大的便利,很多事情都不需要我们人为的参与了,只需要通过计算机就可以实现自动控制。由此,计算机对我们的社会对我们每个人都是很重要的。所以我们要了解计算机得组成,内部各种硬件,只有了解了计算机基本器件已经相应的软件,才能促进社会的发展。编码器和译码器的设计是计算机的一些很基础的知识,通过本次对于编码器和译码器的设计,可以让我知道究竟这种设计是如何实现的,这种设计对我们的生活有什么帮助,这种设计可以用到我们生活的哪些方面,对我们的各种生活有什么重大的意义。 1.1 设计的目的 本次设计的目的是通过简单的编码器和译码器的设计掌握基本的计算机的一些有关的知识,通过查资料已经自己的动手设计去掌握EDA技术的基本原理已经设计方法,并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过将理论知识,各种原理方法与实际结合起来,切实的亲手设计,才能掌握这些非常有用的知识。通过对编码器和译码器的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。也能通过这种自主设计,增强自己的动手能力,将理论知识切实应用的能力,这对我们将来的发展是很有帮助的。 1.2 设计要求 根据计算机组成原理中组合逻辑电路设计的原理,利用VHDL设计计算机电路中编码器和译码器的各个模块,并使用EDA 工具对各模块进行仿真验证和分析。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例

实验二编码器和译码器的应用

实验二编码器和译码器的应用 一.实验目的: 1.学会正确使用中规模集成组合逻辑电路。掌握编码器、译码器、BCD七段 译码器、数码显示器的工作原理和使用方法。 2.掌握译码器及其应用, 学会测试其逻辑功能。 二.实验仪器及器件: 1. TPE—D6Ⅲ型数字电路实验箱 1台 2.数字万用表 1块 3.器件:74LS20 二4输入与非门 1片 74LS04 六反相器 1片 74LS147 10线—4线优先编码器 1片 74LS138 3线—8线译码器 1片 74LS139 双2线—4线译码器 1片 74LS47 七段显示译码器 1片 三.实验预习: 1.复习编码器、译码器、BCD七段译码器、数码显示器的工作原理。 2.熟悉编码器74LS147及译码器74LS138、74LS139各引脚功能和使用方法, 列出74LS138、74LS139的真值表,画出所要求的具体实验线路图。四.实验原理: 在数字系统中,常常需要将某一信息变换为特定的代码,有时又需要在一定的条件下将代码翻译出来作为控制信号,这分别由编码器和译码器来实现。 1.编码:用一定位数的二进制数来表示十进制数码、字母、符号等信息的过 程。编码器:实现编码功能的电路。 编码器功能:从m个输入中选中一个,编成一组n位二进制代码并行输出。 编码器特点:(1)多输入、多输出组合逻辑电路。 (2)在任何时候m个输入中只有一个输入端有效(高电平或 低电平)对应有一组二进制代码输出。 编码器分类:二进制、二─十进制、优先编码器。2.译码:是编码的反过程,是将给定的二进制代码翻译成编码时赋予的原意。 译码器:实现译码功能的电路。译码器特点:(1)多输入、多输出组合逻辑电路。 (2)输入是以n位二进制代码形式出现,输出是与之对应的 电位信息。

编码器和译码器

编码器和译码器 07级23系 马运聪PB07210249 肖阳辉 实验目的: 1掌握编码器、译码器的逻辑功能和分析方法、设计方法。 2熟悉中规模集成电路编码器、译码器的电路结构和功能工作原理。 实验原理: 1优先编码器 输入输出均以低频信号为有效信号。 功能表如下: 输入 输出 S I'0 I'1 I'2 I'3 I'4 I'5 I'6 I'7 Y'2 Y'1 Y'0 Y's Y'ex 1 X X X X X X X X 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 0 X X X X X X X 0 0 0 0 1 0 0 X X X X X X 0 1 0 0 1 1 0 0 X X X X X 0 1 1 0 1 0 1 0 0 X X X X 0 1 1 1 0 1 1 1 0 0 X X X 0 1 1 1 1 1 0 0 1 0 0 X X 0 1 1 1 1 1 1 0 1 1 0 0 X 0 1 1 1 1 1 1 1 1 0 1 0 0 1 1 1 1 1 1 1 1 1 1 1 扩展端: 1=S 编码器工作,0=S 编码器关闭。 1''==EX S Y Y 编码器关闭。 1',0'==EX S Y Y 编码器工作,没有有效输入信号。 0',1'==EX S Y Y 编码器工作,有有效输入信号。

2译码器 输入高电平有效,输出低电平有效真值表如下: 序号 输入输出 A3 A2 A1 A0 Y'0 Y'1 Y'2 Y'3 Y'4 Y'5 Y'6 Y'7 Y'8 Y'9 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 1 2 0 0 1 0 1 1 0 1 1 1 1 1 1 1 3 0 0 1 1 1 1 1 0 1 1 1 1 1 1 4 0 1 0 0 1 1 1 1 0 1 1 1 1 1 5 0 1 0 1 1 1 1 1 1 0 1 1 1 1 6 0 1 1 0 1 1 1 1 1 1 0 1 1 1 7 0 1 1 1 1 1 1 1 1 1 1 0 1 1 8 1 0 0 0 1 1 1 1 1 1 1 1 0 1 9 1 0 0 1 1 1 1 1 1 1 1 1 1 0 伪码1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

编码器与解码器

编码电路设计报告 目录 一、设计任务 二、题目分析与整体构思 三、硬件电路设计 四、程序设计 五、心得体会

一.设计任务 在数字系统中,编码指将特定的逻辑信号编为一组二进制代码。能够实现编码功能的逻辑部件称为编码器。一般而言,M 个不同的信号,至少需要N 位二进制数编码。M 和N 之间 满足2N≥M 的关系。在实际工作中,同时有多个输入被编码时,必须根据轻重缓急,规定好这些控制对象允许操作的先后次序,即优先识别。能够识别信号的优先级并进行编码的逻辑部件称为优先编码器。 8-3 线优先编码器是常见编码器之一,它有8 个输入端、3 个二进制码输出端,作用是将输入X0~X7 八个状态分别编成842l 码的反码输出,优先级分别从X7~X0 递减。 使用VHDL硬件描述语言的设计方法和思想设计8-3 线优先编码器。用ISE 软件运行仿真,观察波形图,并将程序下载到FPGA,验证编码器的逻辑功能。 使用VDHL语言实现8-3 线优先编码器,操作简单、易懂,将8个拨码开关的状态作为输入,3个LED显示高低电平作为输出,很容易理解编码器的工作原理。 二.题目分析与整体构思 题目要求使用VHDL 语言设计8-3 线优先编码器。用ISE 软件运行仿真,观察波形图,并将程序下载到FPGA,验证编码器的逻辑功能。用开发板EXCD-1上的8个拨码开关的状态作为输入,3个LED显示高低电平作为输出,通过改变8个拨码开关的开关来控制3个LED的输出状态。 三.硬件电路设计

拨码开关SW7~SW0输入,D0~D2输出高低电平,通过改变8个拨码开关的开关来控制3个LED的输出状态。 四.程序设计 (1)创建工程 制定工程名,工程路径以及顶层设计所使用的输入方式,此设计我们选择硬件描述语言作为顶层设计的输入方式HDL。 (2)选择目标器件 (3)创建新源文件 这里我们选择“VHDL Module”,进行新源文件模块定义,所定义的内容是所要设计模块的实体说明,即模块的端口说明。本实验所要实现的是编码器的设计,设定SW7~SW0 为六个输入端口,共3 个输出信号D(0)~D(2),选择输出为总线模式(Bus),Msb、Lsb 分别表示最大端口号与最小端口号(注意:选择端口方向in、out、inout)。 检查模块端口定义是否正确。 (4)添加源文件 (5)完成工程创建 在工程设置统计窗口,可以看到对工程的描述总结,目标器件的描述,以及新建源文件 的总结,此工程创建完成。 (6)设计输入 包括库的声明,包的声明,完整的实体说明以及结构体框架。使用VHDL 语言设计完善基本编码器电路设计,拨动开关SW0~SW1 作为六个输入端,LED0~LED2作为输出显示,以观察实验结果。 1. LED 与编码器电路对应关系 SW7 SW6 SW5 SW4 SW3 SW2 SW1 SW0D2D1 D0 0 0 0 0 00 01000 00 00 00 1×00 1

第八讲 编码器和译码器

第八讲 编码器和译码器 一、编码器 编码是用代码表示特定对象的过程。编码器是实现编码的逻辑电路。二进制编码的原则是用n 位二进制代码可以表示2n 个信号,对N 个信号编码时,应由2n ≥N 来确定编码位数n 。 1. 二进制编码器:用n 位二进制代码对2n 个信号进行编码的电路。 8-3编码器电路(3位二进制编码器):8个输入信号互斥。当I 1~I 7输入为0时,输出就是I 0的编码。I 0未画出。 输入信号为高电平有效(有效:表示有编码请求),输出代码编为原码(对应自然二进制数)。 2. 二—十进制编码器:将0~9十个十进制数转换为二进制代码的电路。 I 9 I 8 I 7I 6I 5I 4 I 3I 2 I 1 I 0Y Y Y Y (a) 由或门构成 9 8 765432 1 I 0 (b) 由与非门构成 Y Y Y Y

当编码器某一输入信号为1且其它输入信号为0时,有一组数码输出。如I 7=1时,Y 3Y 2Y 1Y 0=0111。输出数码各位的权从高位到低位分别为8、4、2、1,因此是一个8421BCD 码编码器。从编码表可以看出,该编码器输入信号I 0~I 9也是互斥的。 3. 优先编码器 从功能表看出,输入输出的有效信号都是0。在输入中,下标越大,优先级越高。 控制输入端(选通输入端)ST=0时,编码器工作。ST=1时,输出均为1,不进行编码。Ys 为选通输出端。当控制输入端ST=0,但无有效信号输入时,Ys=0。Y EX 为扩展输出端。当ST=0,且有信号输入时,Y EX 才为0,否则为1。 ※ 集成3位二进制优先编码器74LS148 ※ 集成3位二进制优先编码器74LS148的级联 V Y Y I I I I Y 45 6 7 2 1 7 6 5 4 3 2 1 0(a) 引脚排列图(b) 逻辑功能示意图 允许同时输入几个编码信号,而电路只对其中优先级别最高的信号进行编码。右图为8-3优先编码器74LS148的逻辑图。

电视机编码器与解码器

彩色电视机编码器和解码器的设计 摘要 集成电路彩色电视机按制式可分为:NTSC制、PAL制和SECAM制等。我国采用PAL 制式,标准的PAL制式彩色电视机也称为PAL-D彩色电视机。典型的PAL-D彩色电视机电路主要由公共通道、伴音通道、解码电路、图像重显电路、控制电路和电源电路等部分组成。 PAL制使彩色相序逐行改变,使串色极性逐行取反,加之梳状滤波器在频域的分离作用,使串色大为减小。又由于人眼的视觉平均作用,就使得传输失真不再对重现彩色图像的色调产生明显的影响。可使微分相位的容限达±40°以上。 PAL制采用1/4行间置再加25Hz彩色副载波,有效地实现了亮度信号与色度信号的频谱交错,因而有较好的兼容性。梳状滤波器在分离色度信号的同时,使亮度串色的幅度也下降了3dB,从而使彩色信杂比提高了3dB。由于PAL制为1/4行间置,所以亮、色分离要比NTSC制困难(NTSC制可以用1个整行延迟线的梳状滤波器实现亮、色分离,而PAL需要2行延迟),且分离质量也较差。在要求高质量分离的场合(如制式转换和数字编码等),可采用数字滤波这类较复杂的技术。与NTSC制相比,PAL制电路复杂,对同步精度要求高等缺点。 关键字:PAL制,数字滤波,编码器,解码器

目录 1彩色电视机三大制式简介 (1) 1.1NTSC制的特点 (1) 1.2 SECAM制特点 (2) 1.3 PAL制及其特点 (3) 2PAL制原理 (3) 3 PAL制PAL制编码原理 (4) 3.1逐行倒相 (5) 3.2 PAL调制原理 (6) 3.3PAL制频谱间置原理 (7) 4PAL解码原理 (8) 4.1亮度信号和色度信号的分离 (9) 4.2色同步信号和色度信号的分离 (9) 4.3色度信号的两个分量F U、F V的分离 (10) 4.4同步检波将F U、F V分量解调为U、V信号 (11) 4.5解码矩阵将Y、U、V信号还原为三基色信号 (12) 5梳状滤波器解码原理 (12) 6PAL彩色电视机原理框图 (15) 总结 (17) 致谢 (18) 参考文献 (19)

译码器、编码器及其应用实验报告

实验四译码器、编码器及其应用 实验人员:班号:学号: 一、实验目的 (1)掌握中规模集成译码器的逻辑功能和使用方法; (2)熟悉掌握集成译码器和编码器的应用; (3)掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出Y0~Y7接数字实验箱LED 管,地址A2A1A0输入接实验箱开关,使能端接固定电平(V CC或GND)。电路图如Figure 1所示: Figure 2 E N1EN2A EN2A≠100时,任意拨动开关,观察LED显示状态,记录观察结果。 E N1EN2A EN2A=100时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2)利用3-8译码器74LS138和与非门74LS20实现函数: Y=A B+B C+ABC 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: Y=A B+B C+ABC =A B C+A B C+A B C+ABC =Y0+Y1+Y4+Y7=Y0Y1Y4Y7 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3)用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目8×2=16刚好够用。 而输入端只有 A、B、C三个,故要另用使能端进行片选使两片138译码器进 行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试Y x,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入CBA=000时,应该是Y0输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入CBA=001时,应该是Y1输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

编码器和译码器

编码器和译码器 编码器(Encoder) z在数字系统中,常常需要将某一信息(输入)变换为某一特定的代码(输出) z把二进制码按一定的规律编排,例如8421码、格雷码等,使每组代码具有一特定的含义(代表某个数或控制信号)称为编码 z具有编码功能的逻辑电路称为编码器 z它的逻辑功能是将输入的每一个高、低电平信号编成一个对应的二进制代码。

目前经常使用的编码器有普通编码器和优先编码器两类。 优先编码器(74LS148)功能表 译码器(Decoder) z译码是编码的逆过程 z译码器将每个二进制代码赋予的特定含义“翻译”过来,转换成相应的信息符号(输出信号) z具有译码功能的逻辑电路被称为译码器 z它的逻辑功能是将每个输入的二进制代码译成对应的输出高、低电平信号或另一个代码。

常用的译码器电路有二进制译码器、二–十进制译码器和显示译码器。 3线–8线译码器(74LS138)功能表 输出低电平有效 4511真值表

一、验证编码器74LS148和译码器74LS138的逻辑功能: 按上图连接电路,根据74LS148和74LS138的输出状态,填写下表,并分析结果。 二、用两片74LS138扩展为一个4线–16线译码器: 按上图连接电路,根据实验结果,填写下表,并分析电路的工作原理。

三、用74LS138和74LS20双与非门设计下面的多输出函数,画出逻辑电路图。 四、一把密码锁有三个按键,分别为A、B、C。 当三个键都不按下时,锁打不开,也不报警; 当只有一个键按下时,锁打不开,但发出报警信号; 当有两个键同时按下时,锁打开,也不报警; 当三个键同时按下时,锁被打开,但要报警。 试使用74LS138和74LS20双与非门实现此逻辑电路。 五、思考题: 设计一个5–32的二进制译码器 提示:用四片74LS138及一片74LS139(2–4译码器)组成一个树状结构的级联译码器。用74LS139的输入端做5–32译码器高二位输入端,74LS138的译码输入端做5–32译码器的低三位输入端。(注:74LS139是低电平输出)

相关文档
最新文档